Handbook of Semiconductor Technology, Volume 2 - PDF Free Download (2024)

Handbook of Semiconductor Technology Volume 2 Kenneth A. Jackson, Wolfgang Schroter (Eds.)

@3WILEY-VCH Weinheim . New York . Chichester . Brisbane . Singapore . Toronto

Editors: Prof. K. A Jackson The Universit) of Arizona Arizona Materials Laboratory 47 15 E. Fort Lowell Road Tucson. A 2 85712. USA

Prof. Dr. W. Schroter IV. Physikalisches Institut der Georg-August-Universitat Gottingen BunsenstraDe 13-15 D-37073 Gottingen, Germany

This book was carefull) produced. Nevertheless, authors, editors and publisher d o not warrant the information contained therein to be free of errors. Readers are advised to keep in mind that statements. data, illustrations. procedural details or other items may inadvertently be inaccurate.

Library of Congress Card N o : applied for British Library Cataloguing-in-Publication Data: applied for Deutsche Bibliothek Cataloguing-in-Publication-Data

.4catalogue record is available from Die Deutsche Bibliothek ISBN 3-527-29835-5

0 WILEY-VCH Verlag GmhH. D-69469 Weinheim (Federal Republic of Germany), 2000 Printed on acid-free and chlorine-free paper. All rights resewed (including those of translation into other languages). No part of this book may be reproduced in any form - by photoprinting, microfilm, or any other means - nor transmitted or translated into machine language without written permission from the publishers. Registered names, trademarks, etc. used in this book, even when not specifically marked as such, are not to be considered unprotected by law.

Composition, Printing and Bookbinding: Konrad Triltsch. Print und digitale Medien GmbH, D-97070 Wiirzburg Printed in the Federal Republic of Germany.

IX

List of Contributors

Dr. Daniel I. Amey DuPont Electronic Materials Experimental Station P.O. Box 80334 Wilmington, DE 19880-0334, U.S.A. Chapter 11

Prof. Thomas F. Kuech University of Wisconsin Department of Chemical Engineering 14 15 Engineering Drive Madison, WI 53706, U.S.A. Chapter 3

Dr. Ken E. Benson Formerly with AT&T Allentown, PA, U.S.A. Chapter I

Dr. Dim-Lee Kwong The University of Texas at Austin Microelectronics Research Center Department of Electrical and Computer Engineering Austin, TX 78712, U.S.A. Chapter 9

Prof. Chun-Yen Chang National Chaio Tung University National Nan0 Device Laboratory 1001- 1 Ta Hsueh Road Hsinchu, Taiwan 30050, R.O.C. Chapter 7 Dr. Kevin G. Donohoe Formerly with Applied Materials Santa Clara, CA. U.S.A. Chapter 6 Prof. Kenneth A. Jackson University of Arizona Arizona Materials Laboratory 47 15 East Lowell Road Tucson, AZ 85712, U.S.A. Chapter 6 Dr. Wulf H. Knausenberger RD Hikuai, via Thames New Zealand Chapter 12

Dr. Juan F. Lam Hughes Aircraft Company Hughes Research Laboratories 301 1 Malibu Canyon Road Malibu, CA 90265-4799, U.S.A. Chapter 8 Dr. Rainer Leuschner Siemens AG Corporate Technology Materials and Manufacturing P.O. Box 32 20 D-91050 Erlangen, Germany Chapter 4 Dr. Wen Lin Lucent Technologies Allentown, PA, U.S.A. Chapter 1

X

List of Contributors

Prof. Subhash Mahajan Carnegie Mellon University Department of Materials Science and Engineering Wean Hall 331 1 Pittsburgh. PA 15213-3890. U.S.A. Chapter 5

Prof. Simon M. Sze National Chiao Tung University Microelectronics and Information Systems Research Center 1001 Ta Hsueh Road Hsinchu, Taiwan 30050. R.O.C. Chapter 7

Dr. J. Brian Mullin EMC Malvern "The Hoo". Brockhill Road West Malvern. Worcs. WR14 4DL. U.K. Chapter 2

Michael A. Tischler Advanced Technology Materials, Inc. Danbury, CT 06810, U.S.A. Chapter 3

Dr. John M. Parsey. Jr. Motorola Semiconductor Products Sector 2100 East Elliot Road Tempe, AZ 85284. U.S.A. Chapter 10 Dr. Georg Pawlowski Clariant Japan K.K.. BU Electronic Materials Shizuoka. Japan Chapter 4 Dr. William E. Stanchina Hughes Aircraft Company Hughes Research Laboratories 301 1 Malibu Canyon Road Malibu. CA 902654799, U.S.A. Chapter 8

Dr. Terry R. Turner Fourth State Technology 2120 Braker Lane, Suite C Austin, TX 78758, U.S.A. Chapter 6 Prof. John G. Wilkes T Formerly with Mullard Ltd., Southampton, U.K. Chapter 1

Preface

In the past, the ages of man have been labeled by the materials over which we have gained control: the stone age, the bronze age, the iron age. This is surely the silicon age, where the term silicon is meant to imply the most ubiquitous member of the class of materials known as semiconductors. The modern electronic industry is based on the technology of these materials, and the information age would not be possible without their remarkable properties. Although silicon makes up one quarter of the earth’s crust in the form of silicate minerals, its use as an electronic material, based on its semiconducting properties, were not realized until about fifty years ago when techniques for purifying and preparing single crystals of silicon were developed. The driving force behind this advance was the developing understanding of the electronic properties of these materials. During the past fifty years, the use of these materials has expanded to the point where their manufacture is a major component of world commerce, and the electronic products which they enable have impacted every aspect of our daily lives. Semiconductors permeate all aspects of modern society. Computers based on these materials have permitted the increasingly rapid processing and interchange of information which is now incorporated into our daily life styles. In addition to increased access to information, modern computers have changed the way science is conducted, they have introduced new paradigms for mathematics, and they are essential to the developing understanding of how our genes are constructed. The technology on which this development is based is simply impressive. The starting silicon material is, by a significant margin, both the purest and most perfect single crystalline material prepared by man. The fabrication technology pushes the limits of the size of the sub-microscopic features created, the limits of the complexity and of the number of steps involved in the processing, the limits of the purity of the chemicals used in the processing including the water, and even the limits of the cleanliness of the manufacturing environment. The volume on Semiconductor Processing describes this manufacturing technology in some detail. This technology continues to evolve and develop very rapidly to maintain the pace of the ever-expanding speed and power of modern computers and of other leading edge electronic components. I am deeply indebted to the contributors to this volume who took valuable time from their busy schedules to write about this impressive technology which they are deeply involved in developing.

Kenneth A. Jackson Tucson, April 2000

Contents

1 Silicon Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 J. G. Wilkes f , K. E. Benson, W Lin 2 Compound Semiconductor Processing . . . . . . . . . . . . . . . . . 67 J. B. Mullin 3 Epitaxial Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7: E Kuech, M. A. Tischler 4 Photolithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Leuschner, G. Pawlowski 5 Selective Doping . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Mahajan

11 1 177 265

6 Etching Processes in Semiconductor Manufacturing . . . . . . . . . . 291 K. G. Donohoe, ir: R . Turner, K.A . Jackson

7 Silicon Device Structures . . . . . . . . . . . . . . . . . . . . . . . . C.-Y Chang, S. M . Sze

341

8 Compound Semiconductor Device Structures . . . . . . . . . . . . . . 39 1 W. E. Stanchina, J. E Lam 9 Silicon Device Processing . . . . . . . . . . . . . . . . . . . . . . . . 407 D.-L. Kwong

10 Compound Semiconductor Device Processing J. M . Parsey, Jr:

. . . . . . . . . . . . . 489

1 1 Integrated Circuit Packaging . . . . . . . . . . . . . . . . . . . . . . D. I. Amey 12 Interconnection Systems . . . . . . . . . . . . . . . . . . . . . . . . W H. Knausenberger Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

607 649 683

1 Silicon Processing

.

John G Wilkes *

.

Updated by Wen Lin** and Ken E Benson*** . October. 1999 List of 1.1 1.2 1.3 1.3.1 1.3.2 1.4 1.4.1 1.4.2 1.4.3 1.4.4 1.5 1.5.1 1S . 2 1S.3 1.5.4 1.5.5 1.6 1.6.1 1.6.2 1.6.3 1.6.4 1.6.5 1.6.6 1.6.7 1.7 1.7.1 1.7.2 1.7.3 1.8 1.8.1 1.8.2 1.9 1.10

* ** ***

2 Symbols and Abbreviations . . . . . . . . . . . . . . . . . . . . . . . . . . . Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 Metallurgical-Grade Silicon . . . . . . . . . . . . . . . . . . . . . . . . . 7 Semiconductor Grade Polycrystal Silicon . . . . . . . . . . . . . . . . . . 11 The Chlorosilane Route . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 The Silane Route . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 Single Crystal Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 16 Float-Zoned Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 Neutron Transmutation Doped Silicon . . . . . . . . . . . . . . . . . . . . . Carbon and Nitrogen in Float-Zoned Silicon . . . . . . . . . . . . . . . . . 20 Periodic Crystal Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Czochralski Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 Dislocation-Free Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 Constitutional Supercooling . . . . . . . . . . . . . . . . . . . . . . . . . . 27 The Incorporation of Carbon and Oxygen . . . . . . . . . . . . . . . . . . . 29 Magnetic Czochralski Silicon . . . . . . . . . . . . . . . . . . . . . . . . . 33 Evolution in Czochralski Crystal Diameter . . . . . . . . . . . . . . . . . . 34 Wafer preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 Slicing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 Edge Rounding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 Lapping/Grinding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Chemical Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Polishing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Cleaning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40 41 Mechanical Damage in Silicon . . . . . . . . . . . . . . . . . . . . . . . . . Oxygen in Czochralski Silicon . . . . . . . . . . . . . . . . . . . . . . . . 46 The Behavior of Oxygen in Silicon . . . . . . . . . . . . . . . . . . . . . . 46 48 The Precipitation of Oxygen in Silicon . . . . . . . . . . . . . . . . . . . . Thermal Donors and Enhanced Diffusion . . . . . . . . . . . . . . . . . . . 52 Gettering Engineering . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 Extrinsic Gettering in Silicon . . . . . . . . . . . . . . . . . . . . . . . . . 53 56 Intrinsic Gettering in Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

Formerly with Philips Components Ltd., Southampton. U.K. Lucent Technologies. Allentown. Pa. U.S.A. Formerly with AT&T. Allentown. Pa. U.S.A.

2

1 Silicon Processing

List of Symbols and Abbreviations A"

Fourier series coefficient lattice constant (for Si, N" = 5.42 A) a, A0 constant B slice bow depth C concentration CH crystal habit CI concentration in liquid concentration (of oxygen in oxide) in particle CP concentration in solid c, equilibrium solid solubility concentration Cr 5 co initial concentration d diameter D diffusion coefficient activation energy for the formation of a particle of critical radius EC ft cut-off frequency f; mean value off, volume free energy change of a precipitate mv F,, F,, F , magnitudes of the forces generated at the edge during sawing fraction of melt solidified height enthalpy of reaction detector signal interstitial collector - base current Boltzmann constant effective distribution coefficient equilibrium distribution coefficient number of particles number of particles of critical radius fast neutron, thermal neutron number of oxygen atoms i n axial bonds number of oxygen atoms in other bonds bound interstitial oxygen concentration Prandtl number radius radius of the total volume from which oxygen condenses into a precipitate critical radius radius of a final precipitate particle, small compared with R time absolute temperature melting point (Si: 1412°C) half life of radioactive species thickness of a silicon slice

List of Symbols and Abbreviations

3

temperature difference velocity vacancy velocity of growth intrinsic X-ray signal half width measured X-ray signal half width rocking curve broadening alpha particle absorption coefficient for polarized infrared light parallel to the stress axis absorption coefficient for polarized infrared light perpendicular to the stress axis gamma particle boundary layer thickness strain test sample angle Bragg angle, X-ray reflection Fourier coefficient (with dimensions of inverse length) constant kinematic viscosity surface free energy relaxation time relaxation time constants angular velocity AC ACR ASTM BP CMOS CVD

cz

DC DCS DI DRAM EBE EG FZ HF HI-LO LPCVD MG-Si MOS

NFZ

alternating current advanced carbothermic reduction American Society for Testing Materials boiling point complementary, using both n- and p-type, metal- oxide- silicon device chemical vapor deposition Czochralski material direct current dichlorosilane deionized dynamic random access memory extended bulk epitaxy enhanced gettering float zoned (material) high frequency high temperature - low temperature (heat treatment) low pressure chemical vapor deposition metallurgical-grade silicon metal-oxide-silicon (device) (n-MOS, p-MOS refer to the dopant type structure employed) nitrogen-doped float zone (material)

4

NTD NTP PPba PPma PPt psi RF rPm SANS SIMS SRAM

TCS TD TIR UHF ULSI UV VLSI

WCA

1 Silicon Processing

neutron transmutation doping normal temperature and pressure atomic part5 per billion ( lo9) atomic parts per million parts per trillion ( I 0”) pounds per square inch radio frequency rotations per minute small angle neutron scattering secondary ion mass spectrometry static random access memory trichlorosilane thermal donor total integrated reading (of bow or warp) ultrahigh frequency ultra large scale integration ultraviolet very large scale integration water classified alumina

1.1 Introduction

1.1 Introduction Silicon today is a commodity, its price subject to all the forces of supply and demand in an intensely competitive market, and this has driven the development of high yield processes for the tight tolerance materials demanded. While discrete and power device manufacture calls for some float zoned, and neutron transmutation doped (NTD) silicon; the worldwide compass of integrated circuit manufacture consumes more than 75% of all the semiconductor silicon produced. The development of the product market distribution is shown in Fig. 1-1, Supply of this material isdominated by Czochralski crystal growth, the operational scale of which has increased from charges weighing a few hundred grams, around 1962, to the current units of 60 kilogram and more.

The evolution of the semiconductor industry as we now know it began in the 1950s, when many of the then large electrical companies became involved in the chemistry and metallurgy of Germanium. Their starting point was GeO,, the dioxide, which had to be reduced to metal powder, melted, zone refined, and crystals grown, before the machining operations which led to discrete devices. Germanium being an expensive rare element, the machining itself generated valuable byproduct sludges which had to be recovered. The extreme purity necessary led into problems in chemical and physical analysis, materials of containment, and in general chemical engineering. In retrospect, very few of these electrical companies possessed either the resources or the experience needed for such work; so when, only shortly afterwards, silicon was

d

300

VI

250

a

! s1

200

8m

z

2

5

150

d m 100

50

m

Z z z z YEAR

Figure 1-1. Global semiconductor sales forecast (After Winegarner).

6

1 Silicon P r o c e s s i n g

introduced, almost all of them took the opportunity to withdraw from the chemical end of the business. Silicon is one of the most abundant elements, and so the sludges are of no economic importance. Henceforth their starting point became the ultrapure polycrystalline silicon from which they made their own single crystal. Withitime, the number of companies doing even this has steadily declined. until today few of the electronics manufacturers have any involvement in bulk material processing. Indeed most purchase polished slices, cleaned and packaged, furnace ready, for fabrication lines. A number of the device makers still carry out epitaxy and, to that extent alone, retain a residual materials activity. Today’s ultra large scale integrated circuits (ULSI) lithographic feature sizes have been reduced to 0.18 pm (anticipate to be in 0.1 p m region by year 2006 or sooner) as

projected by the National Technology Road Map of Semiconductor. These circuits use multi-level metal interconnects to able the production of highly complex circuits of steadily increasing chip size. Consequently, as the number of chips per wafer decreases, so there has been an accompanying call for ever larger wafer diameter - to reduce perimeter wastage, and to improve the fabrication line yield and throughput, as shown in Fig. 1-2 - hence the continuous need to scale up crystal size, this demanding extremely heavy investment. This scaling has not been at the expense of quality - i n fact quite the reverse. As more has been learned about the relationship between materials properties and the device parameters, so the demand for better performance from the silicon has grown. If one compares a typical purchasing specification of even the mid 1970s, with that in

100,000

10,000

1,000

100

10

1

Year Figure 1-2. H i m r i c a l and projected wafer size trends (Source: VLSl Research, SEMATECH. 13001).

1.2 Metallurgical-Grade Silicon

force today for a similar application endproduct, the increase in the number of parameters specified, and the narrowing of virtually all tolerances, is marked. Factors contributing to this position are several. Fine geometry lithography of the ULSI fabrication requires wafer local flatness to match the design rule according to guidance of the National Technology Road Map of Semiconductors (NTRS - 1997). Control of particles of sizes down to one half of the design rules and wafer surface metal contamination at a level near the detection limits (NTRS - 1997). Research into the behavior of oxygen precipitation in bulk silicon under device thermal cycles has led to the introduction of new specification parameters, new crystal growth and wafer processing methods, and to the concept of “crystal engineering”. Controlled oxide precipitation in slices is carried out, prior to their use in fabrication lines, to provide sites for the intrinsic gettering of unwanted fast diffusing electrically deleterious impurities, away from the surface layer where the MOS devices are made. Residual mechanical damage sites after the crystal machining provide similar extrinsic gettering sites. For many applications in “crystal engineering” today, combinations of controlled mechanical and oxide precipitate gettering are used together to achieve optimum performance from the silicon, to match the particular device requirements in MOS, CMOS, and bipolar configurations. To achieve this matching it is necessary to examine the total thermal inventory of the multistage fabrication process, in order to select the most appropriate structure. As semiconductor technology continues to advance, the IC design rule is approaching 0.1 p m by 2006, if not earlier. In parallel with the design rule decrease, the increased circuit design complexity results in

7

increased chip size. This has been the major driving force for increased wafer diameter for the last twenty five years, that is, to increase the required number of IC’s per wafer in order to reduce IC manufacturing cost. Figure 1-2 shows the wafer diameter evolution in the industry since wafer diameter was about 1.5” in 1960s. In the 1990s, 200 mm is the main stream, which was initiated in the late 1980s. In 1995, the development of a 300 mm wafer was begun, targeting for IC manufacture in the 0.25 pm/ 0.18 p m design rule generation. Concurrently, Japan has some development projects on the 400 mm wafer era technology.

1.2 Metallurgical-Grade Silicon The source of the raw silicon used for semiconductor purposes is metallurgicalgrade silicon, manufactured by the carbothermic reduction of silica in an electric arc furnace. Silica, occurring naturally as quartzite, in vein quartz, and in sandstone, and as unconsolidated sands and gravels, is a common mineral with worldwide distribution. Silicon, after oxygen, is the second most abundant element, but does not occur naturally in its elemental form. Silica, either free as in quartz or in the many forms of silicate igneous rocks, constitutes about a quarter of the earth’s crust. However, the silicon metal producers demand an ore purity of better than 99% Si02, and also place tight restrictions on the allowable concentrations of various impurities present - in particular arsenic, phosphorus, and sulfur so that often only a small fraction of an ore deposit meets their purity specification. Geologically washed out gravel from river bed deposits, and similarly leached out quartz sands, are a source of very high purity silica. Vast deposits, yielding quartzite ore of the highest purity available today,

8

1 Silicon Processing

have been discovered in Arkansas, U.S.A., from which monocrystals weighing several tons apiece have been displayed i n exhibitions worldwide. In the traditional electric arc furnace process, which has been used for most of this century, chunky quartzite is reacted with carbon, as the reductant, in the forms of coal, co*ke, or charcoal. which can be a source of at least an order of magnitude greater impurity levels than present i n the silica. The overall reaction appears simple: SiO,

+ 2 C -+ Si + 2 C O

(1)

However. as discussed by Healy (1970), the actual reaction sequence in the different temperature zones of the furnace is far more complex than this, as set out i n the schematic diagram of Fig. 1-3. ( a ) Towards the bottom of the furnace, in the region of the arc between the electrodes where the temperature can exceed 2000 "C. silicon is produced by the reaction

S i c + SiO,

+ Si + S i 0 + CO

(2)

(b) Above this, at a somewhat lower temperature, around 1700-1500"C, the rising byproduct gases react to form the intermediate product silicon carbide by Si0 + 2 C

-+

S i c + CO

(3)

(c) Nearer to the top, where the temperature falls below 150OoC,as is expected thermodynamically, the reverse reaction predominates: S i c + CO -+ SiO,

+C

(4)

The input materials are fed into the top of the furnace, while liquid silicon is periodically tapped from the bottom and cast into ingots. If this casting is carried out directionally, under the conditions referred to as normal freezing, impurity redistribution can be used to effect some purification, following the well equation by Pfann (1952, 1958):

For the arc process to run properly, it is essential to maintain porosity throughout the charge to allow uniform S i 0 and C O gas

Figure 1-3. Schematic diagram of the submerged-electrode electric arc furnace for the production of metallurgical grade silicon.

1.2 Metallurgical-Grade Silicon

flow, and to permit the escape of CO, some SiO, and H,O from the top. To assist this wood chips may be included in the feedstock, and the silica must be of a form which does not readily crumble during initial heating in the upper part of the furnace, which could lead to premature fusion and crusting over, with the risk of a dangerous pressure buildup within the charge. Clearly the carbothermic reduction of silica is not a trivial process. Crossman and Baker (1977) have given a very interesting comparison of the impurities present in typical quartzite and the carbon used, related to the spectrographic analysis of more than 2000 tons of the metallurgical-grade silicon produced. Their data, collected into Table 1- 1, indicated total impurity levels in the quartzite of around 750 ppma; in the carbon 8000 ppma, and in the resulting metallurgical-grade silicon (MG-Si) 4000-4500 ppma. Within this analysis the two predominant impurities are seen to be aluminum and iron, largely originating from the carbon, and taken together accounting for over 80% of that in the silicon product. Since these results referred to

Table 1-1. Impurities in silica, carbon, and metallurgical-grade silicon. Impurity

AI

B Cr Fe

P Others Mn Ni Ti V a

Weighted;

Quartzite (ppma)

Carbona (ppma)

MG-siliconb (pema)

620 14 5 75 10 10

5500

15702580 4 4 2 13 137k 75 2070+5 I O 282 6 -

40 14 1700 140

600

7 0 k 20 4 7 2 28 163k 34 l o o k 47

average value + standard deviation.

9

MG-Si to be used for the production of semiconductor grade polycrystal silicon, the importance of the purity of the carbon source is underlined. Recent developments have focused on improved and cleaner processes, better quality carbon, and efforts to develop quartz sands as an alternative low cost and high purity source. Maintaining charge porosity constitutes the most serious restriction in the operation of the submerged arc furnace, and much attention has been focused on how to meet, or circumvent this problem. In work aimed to reduce drastically the impurities in arc furnace silicon, Dosaj et al. (1978) working at Hemlock Semiconductor Corp. U.S.A. reported using a high purity silica source together with carbon black powder, pelletized with pure sucrose binder, to obtain MG-Si at 99.99% purity. Although the carbon content of the material was relatively low, this particular element tends to be more persistent through the later stages of semiconductor silicon manufacture, and therefore recently there has been interest in exploiting the lower boron content of carbon obtained from petroco*ke. The pelletization of upgraded quartz sands can provide very pure silica in a suitable form. This material then has to be agglomerated to lumps, either separately or mixed with carbon powder. This approach has been studied by several groups, including Elkem A B , Norway, the largest European silicon metal producer, but until now it has only been taken to a development stage. The Siemens advanced carbothermic reduction (ACR) process has recently been described by Aulich et al. (1985), in which high purity pelletized quartz sand is reduced by carbon granules, prepared from carbon black briquettes, which had been leached with hot HC1 to a purity comparable to that of the silica. Since in an arc furnace about 10% of the carbon comes from the elec-

10

1 Silicon Processing

trode, the effective carbon impurity level was somewhat higher. Nevertheless a substantial overall impurity reduction was achieved. A more radical approach to overcoming the porosity problem has been the application of DC plasma-arc techniques to the production of ferrosilicon alloys and silicon metal. The most important feature of the plasma-arc furnace here is that it can process ore fines directly, without prior briquetting or pelletization. The potential of this route is supported by the extremely efficient plasma purification of normal MGSi, by factors of up to 100 000, reported by Armouroux et ai. (1986). The great evolution of heat from the oxidation of aluminum forms the basis of the Thermit process for the reduction of refractory oxides, such as Cr,03, and MnO,. By the application of this technique to silicon, an entirely new manufacturing route has resulted from the extensive work by Dietl and Holm [see, e.g.. Dietl et al. (1981) and Dietl and Holm (1986)l at Wacker Heliotronic, Germany, on the aluminothermic reduction of quartz sand in a liquid flux system (CaO-SiO,) at a temperature of 16001700°C: 3 SiO,

+ 4 A1 -+ 3 Si + 2 AI,O,

(5)

The flux serves simultaneously as a solvent for the byproduct aluminum oxide, and as a liquid-liquid extraction medium. As the silicon is released it is immiscible in the flux and so separates. Since the silicon is of lower density, if floats as the upper layer and at intervals can be poured off into a mold. where controlled normal freezing further separates low segregation coefficient impurities. The silicon made by this novel semicontinuous process is of relatively high purity compared with normal MG-Si. It is characterized by low boron and carbon levels. and after subsequent grinding, acid

leaching, and liquid-gas extraction, provides a material that is suitable for solar-cell applications. During the past decade, the most important economic trend in silicon metal production has been abandonment of the earlier small scale multi-unit plants, having limited productivity, for the use of very much larger electric arc furnaces, commonly dedicated to a particular product, which operate with lower unit costs. A modern commercial submerged-electrode arc furnace built in a three phase, three electrode configuration, each of these 1.25 m in diameter, and driven from a 24 MW power source, can produce 8000- 10 000 t/a metallurgical-grade Si at an energy consumption of 12-14 kWh/kg. The demand for metallurgical-grade silicon is dominated by the iron-steel and aluminum alloy industries, which require 98% purity metal. A somewhat higher quality, of 99%+ purity, is required for conversion into chlorosilanes, the key intermediates in the synthesis of organo-silicon compounds for the silicone industry, leading to products such as oils, resins, lubricants, and water repellants. Although the semiconductor industry wants the highest purity it can obtain, the amount needed still represents only a very small fraction of the world’s output. For example the global production of MGSi i n 1986 was just under 600 000 t, from which the organo-silicones consumed about 20%. By contrast, in that same year the production of semiconductor-grade, ultrahigh purity, polysilicon reached about 6000 t representing a consumption of less than 2% of total MG-Si output, and, significantly, only a fraction of the capability of a singlearc furnace. Western Europe accounts for over half the world capacity, led by Elkem, Norway, and Pechiney, France at 100 000, and 75 000 tons per annum, respectively. Thus, in summary, while the manufacturers of semiconductor silicon can have only

1.3 Semiconductor Grade Polycrystal Silicon

limited influence over the quality of metallurgical silicon, there have been improvements in this product. While these have probably been driven more by the much larger organo-silicon purity needs, some of the companies in the MG-Si industry have established strong links in the semiconductor market, and their contributions are of greater significance when set against the global background of silicon metal production.

1.3 Semiconductor Grade Polycrystal Silicon As shown in Table 1-1, metallurgicalgrade silicon of 99%+ purity contains, in addition to carbon, the major impurities iron and aluminum at more than lOOOppma, various transition and other metals - titanium, chromium, etc. -at around 100 ppma, and lesser impurities, including boron and phosphorus, at lower levels of 10's ppma. Today, dopants and carbon in semiconductor grade polysilicon are reduced to parts per trillion (ppta: 1 in lo'*) levels. For the producers this extremely demanding task has to be achieved economically, to meet the very competitive market pricing pressures which dominate the industry. Early polysilicon plants were built with a capacity of around 100 t/a, but today, to meet price targets, the latest plants are built with capacities around 1000 tons, or more.

MG-Si

Pure anhydrous HCI

Two main routes are available for the production of semiconductor-grade silicon from MG-Si; either via chlorosilanes (principally trichlorosilane, SiHCl,), or via silane (SiH,). The former has been predominant since the late 1950s. 1.3.1 The Chlorosilane Route

This process, developed at Siemens (Bischoff, 1954), rapidly superceded the earlier SiCl,/Zn method, which had been the principal silicon source until that time. There are three basic key steps in the process: (a) Reaction between powdered MG-Si and hydrogen chloride gas in a fluidized bed reactor to form trichlorosilane (TCS). (b) Fractional distillation of the TCS to provide it in an ultrapure, ppba, form. (c) Reduction of the ultrapure TCS by hydrogen in a chemical vapor deposition (CVD) reaction to yield the desired product - semiconductor-grade polycrystalline silicon. The fundamental, reversible, reaction is Si,,,

+ 3 HCl,,,

fluidized bed

0 CVD

The layout of a fluidized bed unit is shown in Fig. 1-4. However, again the actual reactions are more complex, and between this

H,, HCI

grit

J r

T Heater

11

Condenser

300-4OO0C

I

I

Heating

Cooling

SiHCI,,

SiCI,

Figure 1-4. Layout of a fluidized bed reactor. The high degree of recycling in a chlorosilane plant is similar to that in a silane plant see also Fig. 1-5.

12

1 Silicon Processing

and the later stages of the process there is considerable recycling. The overall flow design of the plant, the efficient use of heat exchangers, and precise control of the recycling of intermediates are crucial factors in the operating costs. The reaction between powdered silicon and anhydrous hydrogen chloride gas in the fluidized bed, held at 300 to 400 "C is highly exothermic, producing a mixed output which contains about 90% of the wanted SiHCI, [Boiling point (BP): 3 1.8 "C], about 107~SiC1, (BP: 57.6"C), and also a little dichlorosilane, SiH2C12 (BP: 8.5"C); together with hydrogen, unreacted HCI, and some volatile impurity metal chlorides. For this conversion high purity anhydrous HC1 gas is essential, and a complex purification plant is needed to guarantee the €1 ppma level specified for this stage. Phosphorus trichloride (BP: 76"C), and boron trichloride, which is a gas at room temperature, are the two principal electrically active impurities carried over from the MG-Si; arsenic, as AsCl, (BP: 130°C) is also present to a lesser degree, together with small amounts other volatile metal chlorides, such as AlCI,; but the fluidized bed stage does reduce the incoming impurity levels quite substantially. At the next stage conventional high performance multiplate fractional distillation is employed to refine the TCS, separating i t from other chlorosilanes and Si-H-C-Cl species present, and reducing the undesirable metals to ppba levels. The fractional distillation is backed up by the use of selective adsorption techniques to reach the very highest purity possible. This stage is pure chemical engineering, akin to that to be seen at any petrochemical refinery. Provided that they are kept completely dry, chlorosilanes, and also anhydrous hydrogen chloride, are chemically inactive in both liquid and gaseous form. and therefore can be moved and

transported in conventional carbon-steel pipelines and tanks, but special valves and pumps are needed to maintain a totally leakfree environment. Thus the final ultrapure TCS is relatively easy to handle onwards to the next, silicon deposition, stage. The quality of the semiconductor-grade polysilicon obtained from TCS is seen in Table 1-2, which shows the low levels of all impurities typically achieved. There has had to be much development of new analytical techniques in order to be able to quantify these impurities. Even using the highly sensitive method of ultraviolet spectroscopy, all metals are normally at a level below their respective detection limits. Special grades of even higher purity are available, for example, for epitaxial deposition. At this level often the only way to discriminate between two source materials is by their comparative performance under rigorously controlled conditions. When the data in Table 1-2 are compared with earlier published results, as for example those given by Crossman and Baker (1977) (their studies of MG-Si have already been mentioned). The third stage of the process is silicon deposition, where the Siemens chemical va-

Table 1-2. Impurities in semiconductor-grade polycrystalline silicon* Impurity

Concentration

Carbon Oxygen Donors Acceptors

< S O ppba not quoted <SO ppta < I O ppta

Bulk Metals (Fe. Cr. Ni, Zn and Cu)

< O . l ppbw (cumulative)

Surface Metals Na Other metals individually

5x103, at a stress in the silicon > lo9 N m-2. At higher temperatures, the elastic bending gives way to plastic deformation as the stress is applied, shown in the plot of Fig. 1-25.

Figure 1-24. Surface damage in silicon. Annealing of an abraison scratch in a { 11 1 ] orientation polished slice (1 100°C for 30 min]. (a) Interference contrast microscopy revealing slip relief along [ 11 1 ] planes. (b) X-ray topograph showing the stress relief by plastic flow, creating a network of long dislocation loops on [ 1 1 1 ) slip planes on either side of the original scratch.

Since both silicon and germanium are hard brittle elements of the diamond cubic lattice structure, from the outset of the semiconductor industry diamond sawing has remained the prime route to slicing ingot material. Initially the sawblades were steel discs, slotted around the periphery, into which diamond grit particles were pressed. Such saw discs when rotated at high speed around 1500-2000rpm, with water as a coolant, cut both germanium and silicon

44

1 Silicon Processing

Figure 1-25. Deformation and fracture of silicon resulting from mechanical stress. Note: For silicon Y/(l - P ) = 1.8 x IO" ( N m->), and so, approximately, the stresdstrain ratio is 2 x 10" ( Y : Young's modulus, P : Poisson's ratio). Hence for example at a stress of 10' N m-? the corresponding strain is 5 x

1500 r

c

m

W L

n

500 I-

-

Elastic deformation

0 ' 10'

1

I

I

l

l

lo8

1O'O

Yield stress (Nrn-')

well. However, to cut thin slices accurately such blades have to be thicker than the wanted slices, and this is obviously very wasteful of the crystal material. As a result, these peripheral blades were rapidly superseded by internal diameter blades. Thin high tensile rolled steel sheet is punched out into large discs with a central hole around which a band of diamond of closely controlled particle size is electroplated. This blade is clamped into a mounting frame which is stretched over an outer ring in high tension, sufficient to enlarge the central diamond saw hole towards its elastic limit, so providing a thin but extremely rigid blade, capable of very precise slicing with minimum kerf loss of material. Very considerable effort has gone into the development of the internal diameter sawing machines and blades to meet the continuing scaling up of slice diameters. When an internal diameter diamond blade, stretched in tension over an outer ring and rotating at high speed, is driven forward into silicon to saw a slice, the tension is slightly relaxed and the blade vibrates (wobbles) slightly. The ingot on one side of the kerf slot is rigid, whereas the partially cut slice on the other side of the sawblade can relax a little. As the blade edge vibrates. the diamond on its sides impacts

against the ingot and slice, causing differential damage, where, on the next cut, the newly exposed ingot surface becomes the other side of the next slice. Such slices may be cut perfectly uniform in thickness but bowed, until they are etched to remove the damage before polishing, when they relax to a very low bow value. On the other hand, if a blade is mounted and run incorrectly, so that it deflects during slicing, no amount of subsequent etching can correct the ensuing permanent bow. The forces which are generated at the blade edge during sawing can be followed by mounting the ingot on a dynamometer attached to an x - y - z - t chart recorder. The forces F,, F,, and F,, measured simultaneously as the blade traverses the full diameter of the ingot, are related to the operating conditions. Typical results, looking at variable cutting rates, are shown in Fig. 1-26. Here F , is the direct loading force between the advancing ingot and blade, F , is the tangential, dragging, force along the blade periphery, and F , is the smaller, but very important, vibrational force perpendicular to the blade. At a low feed rate the saw is only in gentle contact with the silicon and free to vibrate; then, as the feed rate is increased towards its optimum, the blade is held more firmly and vibration decreases . .. and on the

1.6 Wafer Preparation

45

- 160 -

- 120 -9 ’c

n

L

{

0.4 -

E

5

)r

n

-80

z

.-VI

0.2 -

:40

-I

i A

I

1

2

3

I

I

4

5

5-

Saw feed r a t e Icm rnin-’1

(a1

-3

-2

-1 0 1 2 3 Saw blade deflection (pml

(b)

Figure 1-26. Damage during silicon slicing. In (a) the force measurements and bow were recorded using distilled water as the cutting fluid ( 0 Fx, x F y , + F,, 0 bow). The effect of replacing this by a 1 % solution of polyethylene glycol (6000 mol wt.) is seen in a force F, ( A ) of 0.04 N, and a bow ( 0 ) of under 10 Fm, Subsequently in (b) it is necessary to etch the sawn slices to reveal the true distortion associated with blade deflection. + marks the zero bow, zero saw blade deflection intersection of the two axes.

slices sawn so does the bow. Finally, as the feed rate is set too high, the pressure between the ingot and the blade begins to relax the blade tension, F , starts to rise again and the bow becomes severe. Taken further, beyond its stress limit, the blade ruptures. The role of the cutting fluid, “lubricant”, can also be studied. As an example: at such high rotation rates, around 2000 rpm, centripetal forces rapidly remove the cutting fluid from the blade edge, and the liquid film whose thickness should provide a cushion against F , is very thin. The long chain molecule polyethylene glycol both improves the streamline flow of high speed liquids and increases their viscosity, so maintaining a thicker film. Applied to silicon slicing under otherwise optimum feed conditions, the F , is halved, and the bow reduced even more. It is recognized that the slicing quality has key influence on the yield on the subse-

quent polished wafer manufacturing steps, and has major impact on the overall production cost. For ULSI fabrication, the mechanical specifications for wafers are stringent and tolerances are tight on parameters such as local flatness, TTV, thickness distribution. To improve these parameters for large diameter wafers (>200 mm) the ID saw is being replaced with multiple-wire saws as previously discussed in the section on sawing. During later device processing the slice meets several high-temperature stages in which, if residual peripheral damage is still present, the heating and cooling gradients will lead to slip, and yield losses. This is shown in Fig. 1-27. Here the transistor printout marking of rejects on-slice at Test1, matches the slip, revealed by etching the back of a slice, which had been inadequately etched after grinding. Lapping is a very different issue. While it is used after slicing to provide slices of

Next Page

46

1 Silicon Processing

Figure 1-27. Device failures from slice fabrication. The Test-I printout on-slice of UHF transistor rejects in (a) is linked directly to the process induced crystallographic defects revealed by selectively etching the reverse back face. seen in ( b ) .Note the high incidence of failures initiated from the periphery. particularly near to the reference flat. contributed to by insufficient ingot etching after grinding.

the close thickness uniformity necessary to proceed on to etching and polishing, to remove any saw marks, and to improve the planarity and parallelism, fundamentelly i t is a retrograde process. The abrasive pressure is directed into the silicon surface. Under very low load, i n hand lapping, the depth of damage generated is proportional to, but somewhat greater than the abrasive particle size (Buck and McKim, 1956). When the pressure is increased, as is necessary to achieve useful stock removal rates from commercial lapping machines, both the depth of damage. and the site density. rise steeply - under normal operating conditions to at least 3-4 times particle size. For example using a 20 pm, close particle size distribution, water classified alumina. WCA, at a load of 30 g/cm’, the damage extends to a depth of around 90 pm - worse than in the original sawn slice. Where lapping is part of the slice machining, deep etching is needed subsequently to remove the subsurface structural damage i t has caused. The issue of residual mechanical damage and flatness requirements in the large slices,

of diameter 200 mm and above, required for the latest ULSI microprocessor and memory chip applications has focused attention on the lapping process and possible alternatives. The new standards of flatness in the final polished wafers are measured in hundredth of a micrometer (pm). This is needed because, in the fabrication of ULSI circuits, the lithography uses submicrometer dimensions with minimum feature sizes currently around 0.2W0.18 p m but decreasing and expected to be down to 0.1 pm by the year 2006. Associated with these dimensions, the thickness of gate oxides is now below 50 A, and with close tolerances of f a few angstroms, and is decreasing. Thus the underlying substrate surface has to be polished to display required surface micro roughness in additional to the local flatness. The requirements of the wafer characteristics for ULSI processing for the current and future design rule generations is mapped out in the National Technology Roadmap of Semiconductors (SIA, 1997). Overall, mechanical damage and its elimination play an important role in determining the wafer manufacturing process and final mechanical properties of the polished wafers.

1.7 Oxygen in Czochralski Silicon 1.7.1 The Behavior of Oxygen in Silicon The oxygen incorporation behavior in a CZ growth system is the result of dynamic balance between crucible dissolution, melt surface evaporation, thermal convection and forced convection induced by crucible and crystal rotations. Since “oxygen i n silicon melt” is a dynamic system, the oxygen concentration profile along a grown CZ

Previous Page

1.7 Oxygen in Czochralski Silicon

crystal depends on the growing process. Although one can obtain an “effective” segregation coefficient from such an oxygen profile assuming normal freezing behavior, however, the coefficient so obtained has no relationship with the “equilibrium segregation coefficient”, k,. The k, is a physical constant related to the binary phase equilibrium of silicon and oxygen. In general, a segregation coefficient less than unity implies an eutectic phase diagram. The melting temperature of silicon containing oxygen is lower than pure silicon. On the other hand, if k,> 1, the solidus would terminate with a peritectic reaction. The k , = 1 would indicate a situation where liquidus and solidus merge, a condition not consistent with the phase rule. The k, for oxygen in silicon has been widely studied for the last 25 years. The reported values range from greater to less than unity, including unity. Ekhalt and Carlberg (1989), in their study of oxygen solubility, proposed a phase diagram in which the slope of the liquidus near Si is consistent with k , c l . Jackson (1988) calculated the solidus, liquidus, the eutectic point and temperature of the S i - 0 phase diagram at the Si end, using k, = 0.3. The resulting phase diagram is consistent with the properties observed in the silicon containing oxygen. The microscopic oxygen incorporation behavior is, however, intimately related to the equilibrium segregation coefficient, k,, of oxygen, as described by the Burton, Prim and Schlichter (BPS) equation (Eqs. 1-3). Investigations based on crystal growth experiments and the analyses via BPS relation have shown that oxygen does segregate during solidification and assume a non-unity k , value between 0.2-0.3 (Lin, 1996). While carbon enters the lattice as a substitutional impurity occupying a silicon site, oxygen does not, but instead enters as a bound interstitial impurity, bonding be-

47

tween two adjacent silicon atoms, in a structure which permits more complex vibrational modes (Newman, 1973). The broad 9 p m infrared absorption band, seen at room temperature, arises from a number of vibrational modes of similar energies. The concentration of bound-interstitial oxygen in silicon is measured by the 9 p m absorption (ASTM Standard F-121), and if any oxygen is precipitated within the crystal, by heating in the range 105O-60O0C, the absorption decreases. Reheating at a high temperature, > 1300 “C, disperses the precipitates and restores the absorption. However, if the temperature is held at around 450 “C, any unprecipitated interstitial oxygen present forms “thermal donors”, which cause major resistivity changes in the crystal. This thermal behavior pattern was first established by Kaiser et al. (1956) and then expanded (Kaiser, 1957; Kaiser et al., 1958). Long Czochralski crystals, which are grown over a period of many hours, slowly withdrawing into a cooler chamber, experience a different thermal history between the seed and tail ends, depicted in Fig. 1.28. The thermal history of the grown CZ silicon has profound effects on the precipitation kinetics of interstitial oxygen during the subsequent heat treatments. The oxygen precipitate gettering has been related to the reduction of leakage current yield losses of DRAM and other devices (for example, Steinbeck, 1980a, b; Lin and Moerschel, 1986). Other studies have shown device failures associated with crystal defects, either present at the start of the fabrication process or formed during it, and also linked to the oxygen status. From defect etching studies, many observers noted that where a high density of surface defect features (e.g., oxidation induced stacking faults, seen after the first furnace step) was found on one side

48

1 Silicon P r o c e s s i n g

Heat losses: Conduction along crystal and convective transfer from surface to gas

Carbon via

"o"~

Precipitate growth

900°C1

10000[

Oxide precipitation on nuclei - C, or condensing interstitial:

/ /

High temperature radiation

I

fault defects high Si interstitial concentration

1&20°C

Melt stirring and convection

9

ion into m e l t at w a l l

Figure 1-28. The variable thermal history of an as-grown Czochralski silicon crystal

of a slice, the opposite face had a very low density. In one direction, this was soon linked to residual damage remaining after slice polishing. Similar work demonstrated the relation between oxidation-induced stacking faults, the slice heat treatment temperature, and oxygen precipitation (Matsush*ta, 1982). Much device engineering research was explored the generation and suppression of oxidation-induced stacking faults during fabrication (Stimmel, 1986), but to use bulk silicon it is necessary to understand the basic precipitation mechanism.

1.7.2 The Precipitation of Oxygen in Silicon In normal CZ growth processes, the interstitial oxygen incorporated during solidification is on the order of 1 0 ' 8 / ~ m 3This . oxygen concentration is above its solid solubility limits at the subsequent thermal processing temperatures, Le., the oxygen is supersaturated. The kinetics of the precipitation varies depends on the thermal history, the oxygen concentration and degree of oxygen supersaturation and heat treatment temperatures. Research into bulk crystallization from liquids, to produce, for example, fertilizers and salts, has contributed much to nucleation concepts, and in particular the particle

1.7 Oxygen in Czochralski Silicon

of critical radius rc. In a supersaturated liquid, or solid, at the outset tiny atomic clusters form and redisperse in a highly dynamic situation, but some merge and grow, until, reaching a certain critical radius, they become stable, and from then on will not redissolve. In such a process there is an initial incubation period during which sufficient nuclei reach r,, then faster precipitation, which dies away as the equilibrium solubility is approached. Many systems exhibit this behavior, including the solid state precipitation of oxygen in silicon, where at 750°C, the process has still not reached equilibrium after over 1000 h - solid state reactions are very slow. In this approach it should be expected that the nuclei formed by other impurities present will affect the initial nucleation induction step. Thus in the silicon case, the distribution of oxide precipitates across a slice after heat treatment closely maps the grown in carbon distribution shown in Fig. 1-1 1 (Wilkes, 1983), and also influences the actual precipitation kinetics (Kishino et al., 1979; Craven, 1981; Shimura et al., 1985; Barraclough and Wilkes, 1986). After nucleation, the main precipitation process reduces the bound interstitial oxygen concentration, developing different numbers and sizes of particles according to the temperature employed. A simple model can be used to predict the qualitative behavior correctly, and provides a basis for understanding the theoretical approach. Suppose two similar, adjacent, samples of the same impurity content, and with the same high background nucleation site density, are annealed for a long time, but at different temperatures in the supersaturation range. (1) In the sample heated at the high temperature the supersaturation driving force for precipitation is low, whereas the

49

diffusion rate of oxygen through the silicon is high. Once a few particles exceed the critical radius, rapid precipitation reduces the oxygen concentration, leading to the formation of a low density of large particles, making use of only a few of the available nucleation sites. (2) Conversely, in the sample heated at a low temperature, by the same reasoning, the supersaturation is high, but now the diffusion is low. The second phase must precipitate, but, since the oxygen only moves slowly and through a short range, a high density of small particles is predicted, making use of many of the available sites. (3) Since the native oxide film on the surface of the silicon sample is effectively a particle of infinite radius, present at time zero, and needing no incubation period, the supersaturation-diffusion model provides a simple and obvious explanation for the existence, close to the surface, of denuded zones, free of any precipitation. From the start of the heating process, oxygen close to the surface can diffuse out into the native oxide layer, so reducing its concentration and inhibiting precipitate formation in this region. The depth of this denuded zone is expected to be of a similar magnitude to the distance between particles in the bulk - deeper when formed at a higher temperature, but very shallow from a low temperature anneal. Again this is as observed in practice. In a quantitative approach, the mathematics of diffusion-limited precipitation (Ham, 1958) have been applied to the case of oxygen in silicon. The starting concentration of bound interstitial oxygen, C,, is assumed to be uniform. After a short induction period

50

1 Silicon Processing

small precipitates are formed, whose density, N , remains constant throughout the remainder of the process. The particles are assumed to grow by diffusion with a spherical shape, and a common radius, ro(?),small compared to the interparticle distance, and taken to be a constant corresponding to the final value ro, at r -+ The particle are a form of silica containing oxygen at a concentration C,, while that in the matrix close to the particle is C,,, the equilibrium solid solubility at the temperature chosen. The Wigner- Seitz approximation replaces the cubic cells around each particle, accounting for the total volume, by equivalent spheres of radius R, defined by (4/3) II R3 N = 1. The oxygen concentration profile as a function of position, and time, C ( r , t ) can be represented by a Fourier series:

In this result 5, has the dimensions of inverse length, and can take an infinite number of discrete positive values, which are the required solutions. Expanding this in a power series for small values of the argume nt gives (1-1 1)

CQ.

If a particle does not nucleate, ro = 0; there is no oxygen diffusion, and the supersaturation is maintained indefinitely. Normally, after an initial transient, the first term of the Fourier series in Eq. (1-7) dominates when

(1-13) (1-7)

satisfying the boundary conditions C = C,, at r = ro, and where z,, is the relaxation time constant. Fick's diffusion equation i n spherical coordinates may be written

while the requirement that there be no net oxygen flux across the outer sphere boundary is defined by =0,

(1-12)

I

ri=O

-D($)

and

( 1-9)

D#O

r=R

Differentiating Eq. ( 1-7) with respect to r and t and substituting into Eq. (1-8) leads to the core expression given by Ham: tan [A,?(r-r0)]= A,, r ,

r =R

(1-10)

The constant A, & has the dimensions of concentration and a value somewhat less than Co- C,, . The oxygen distribution so described is essentially uniform, with a value slightly less than C,, throughout the diffusion volume, except i n a small region of radius about 5 ro, around the particle, in what may be described as a random-walk - well model, as shown i n Fig. 1-29. Further manipulation of the equations leads to two important expressions: (1-14) and

If it is reasonably assumed that the oxide is close to SiO, in its composition, then a

51

1.7 Oxygen in Czochralski Silicon

(SANS) to validate the theoretical model (Livingston et al., 1984), as shown in Fig. 1-30.

10j

Temperature (“0 1100 1000 900 800 700 I

I

l

I

I

(

I

I

O

I

10l4

I

1

I ,/’ !/

,’

I

,’

/ I

;

,

I,’\, \

’.

I

I

/

I

1013m-.

‘\\

I

‘,

\

‘.

10’2

-a6 c .-

) I

Wl

10’0

5

-.-al 109 ; Y

n

Figure 1-29. The random-walk - well model of dif-

108

fusion limited precipitation.

’” 7.0 Only within a region of about 5 x the particle radius does a diffusing oxygen atom become trapped to a particular site and the number of particles formed is strictly defined.

value can be assigned to C,. The values of C,, C,,, and the relaxation time constant, zo, are obtained from the infrared absorption measurements used to follow the precipitation process (Binns et al.; Newman et al., I983 a; Wilkes, 1983). Hence, values for the particle density, N , and its radius, Y, can be obtained at various annealing temperatures, based solely on kinetic data. This can then be compared with direct measurements obtained from integrational etch pit counts, and scattering. By near infrared transmission the optical scattering from the large particles formed by high temperature anneals can be used to calculate Nand Y. Similarly, the very small particles, with radii less than 100 A, can be measured by small angle neutron scattering

8.0

9.0

10.0

11.0

10’

ioL/ T [ K - l I Uxygen precipitation in silicon. 1he particle radii and their corresponding number densities, based on the four methods shown, all assume spherical geometry. However, in the random walkwell theory the particle shape does not significantly affect the overall data given. The symbols are: 0 radius derived from kinetics, radius from etch pit measurements, x radius from neutron scattering, + radius from optical scattering.

kigure

1-JU.

Figure 1-31. Direct lattice image of a platelike oxide precipitate in silicon. Finlike features extend above, and probably below, the main (100) habit plane. Sample annealed at 750°C for 431 h .

52

1 Silicon Processing

The analysis of SANS results also provides information about the shape of the particles, which has recently been allied to high resolution transmission electron microscopy, to reveal platelet precipitates, shown i n Fig. 1-31 (Bergholtz et al., 1989). The total assembly of particle radii from these various techniques, plotted against reciprocal temperature in Fig. 1-30, shows a remarkable coherence of results, i n spite of the different nature of the experimental methods and approximations involved, and the diffusion-limited precipitation theory underpins the qualitative model set out earlier.

1.7.3 Thermal Donors and Enhanced Diffusion The problems surrounding the understanding of thermal donors, their formation, and behavior, are aggravated by the lower temperatures involved, 350-5OO0C, in any kinetic study, and by the complexity of their structure, where work suggests that four interstitial oxygen atoms are involved i n a TD center (Newman and Claybourn, 1988). Following the oxygen precipitation kinetics at low temperatures requires a more sensitive method than infrared absorption; this is provided by the technique of the relaxation of stress induced dichroism (Corbett and Watkins, 1961), which has been applied to the silicon-oxygen system (Benton et al., 1983; Newman et al., 1983b). In this procedure, a small silicon rod sample, cut with a [ 1 1 11 axis, is heated at a temperature of 45O-50O0C, under a high pressure applied along the axis; subsequently the sample is cooled while still under stress. As a result of diffusion while stressed, the number of bound interstitial oxygen atoms, n , , linking matrix silicon sites in the [ 1 1 11 axial bonds becomes less than the number, n 2 , in each of the bonds in the [ T I T ] , [TTl].and [lTT].directions. Ifnow

linearly polarized 9 ym infrared light is used to measure the oxygen absorption coefficient, in directions parallel and perpendicular to the stressed [ 1 1 1 ] axis in the samples, the following relations apply:

from which

(aL-q )= const . ( n 2- n l )

(1-17)

When such a prepared test sample is then annealed at some chosen temperature but under no load, further diffusion allows the oxygen to return towards a random distribution, relaxing the induced stress dichroism, by a first order kinetic process, with a relaxation time constant z*.Using a normalized dimensionless parameter ( aL- aii)/a, the constant z* is given by the slope d [log (aL-al~)/a,]/dt, and is equal to z/8 where l / t i s the fundamental frequency of a single diffusion jump at the temperature concerned. The diffusion coefficient then follows from the simple relationship that D = ai/@ t),where a. = 5.42 A, the lattice constant of silicon. An early problem in the understanding of thermal donors arose from their speed of formation, requiring only a short heating time to reach an equilibrium resistivity. The role of lattice defects in this process is now recognized to be a major contributor. In their stress dichroism study, Benton et al. (1983) observed that, if the silicon was given a 9OO0C/2 h heat treatment followed by quick cooling to eliminate donors (but thereby freezing i n excess silicon sei€-interstitials) before going into the stress dichroism procedure as described above, the value of the diffusion coefficient, D, was enhanced by nearly two orders of magnitude. Another way to alter the intrinsic defect balance in silicon is by irradiation. Newman et al. (1983 b) used 2 MeV electrons onto a

1.8 Gettering Engineering

stressed silicon sample target held on a water-cooled block at well below 60°C. After irradiation the 9 pm signal was lowered, while the generation of oxygen-vacancy ( 0 - V ) A-centers was measured by their infrared absorption at 830 cm-’. On subsequent relaxation, the induced dichroism now decayed exponentially - with D several orders higher. Oxygen can also trap mobile silicon self-interstitials, to form an (0-1) center, with absorption at 935 cm-I. Tin is an efficient trap for vacancies in silicon; as-grown Sn-doped crystals have similar (0-1) center concentrations to undoped silicon, but substantially lower ( 0 - V ) A-center levels, and in this material the relaxation of stress dichroism is retarded by a factor of approximately 6. Involvement of both vacancies and interstitials in this diffusion was proposed by Gosele and Tan (1983). A simplistic view of a single jump could be that either oxygen traps a vacancy to form an Acenter, which then intersects a self-interstitial, or, alternatively, an (0-1) center is formed, which then traps a vacancy. The reality is more complex than this. Enhanced diffusion is seen after metallic contamination by copper or iron. Carbon enters into a number of low temperature centers with oxygen and silicon, and as nucleation sites for self-interstitials (Davies, 1989). Free electron effects have been used to provide an explanation for dopant concentration-dependent thermal donor kinetics (Wada, 1984; Wada and Inoue, 1986); while in the precipitation of oxygen in heavily doped, n’ and p’, silicon, Bains et al. (1990) have observed both enhanced (p’) and retarded (n’) precipitation, which they also link to the free electron model. Finally the thermal donor formation in p-type, 0.3 0 cm, material at 450°C is accompanied by the simultaneous loss of substitutional boron (Newman and Claybourn, 1988). Overall, while the diffusion-limited

53

precipitation model provides a sound basis for understanding the behavior of oxygen in dislocation-free silicon, which is applied in the “crystal engineering” discussed next, there is still much to be learned about the detailed mechanism of enhanced diffusion and thermal donors.

1.8 Gettering Engineering In the preceding sections of this chapter, reference has been made at various points to the ability of defects to act as gettering sites, sinks, for fast diffusing impurities. Also the serious deleterious effects of such defects, where they intersect device structures, has been emphasized. In addition the very slow nature of solid-state oxygen precipitation, seen above, has to be overcome if any use is to be made of such bulk precipitates. The controlled application of external surface mechanical damage (extrinsic gettering), and internal bulk oxide particles (intrinsic gettering) is now addressed. 1.8.1 Extrinsic Gettering in Silicon Mechanical damage in a silicon surface has to be quantified in both density and depth, where as seen in Figs. 1-22 and 1-23, only a few damage sites extend to any great depth. Since etch rates are a function of the intensity of damage, they fall rapidly during the initial stages of etching, so it is very difficult to leave a well-controlled residual damage level on the back side and achieve the required slice thickness tolerances by trying to limit the etching. This also leaves more to be polished off the front surface. What is required is to create intentionally a high density of relatively shallow lattice disorder, whose associated stress relaxes into stacking faults and dislocation loops early on the device thermal processing, to

54

1 Silicon Processing

provide a high gettering capacity. The lattice distortion around the dislocations sets up strained regions, the actual gettering sites, which, in accommodating the diffusing impurities, relax further into stable lower energy atomic configurations. There are several controlled backside damage options available from polished slice suppliers, aimed to match the individual device processes: MOS, bipolar, etc. The damage is reinserted starting from well-etched slices. One method, widely used, employs a high adjustable-pressure water jet system, commonly used at around 1000 psi (=70 bar), which contains fine ground silica of well-defined particle size (about 1 pm). The grades of damage generated by the impingement of this jet on slices traversed beneath are achieved by varying the pressure, number of jets, and the traverse speed. Afterwards the front surface is polished in the normal way. Typical site densities obtained by this treatment range between 5x 1 O3 cm-’ to 5x10’ cm-’. An example of a higher damage level slice, before and after treatment, is shown in Fig. 1-32, while the rocking

Figure 1-32. Extrinsic gettering by silica-high pressure water jet treatment. Note the well-etched surface to remove uncontrolled damage prior to treatment, and the uniformity of mechanical damage sites generated ( S E M photograph).

curve broadening from this process is low to moderate: AW = 10” to 30”. (Note other values: deep-etched slice 0” to 4/8”, sawn slice 80” to loo”, lapped slice AW> 120”.) Lighter damage is most suitable for MOS device processes when, during the first oxidation at around 1000-1 IOO’C, stacking fault gettering sites are formed on the treated back surface at a density of around lo5 cm-2, which has a negligible effect on the subsequent mechanical behavior, warp, etc. However, as device feature sizes continue to shrink, there is strong emphasis on reducing both the maximum temperatures, and the total thermal inventory, used in fabrication. At temperatures below 1000°C the stacking fault generation is more complex and influenced by the oxidation ambient (Claeys et al., 1981). Again, if the damage is too light, instead of forming getter sites on heating, a large proportion may be annealed out. This is seen when first stage polished surfaces, with some submicrometer damage, are compared by etching to reveal defects before and after an 1100°C thermal cycle, when most of the damage sites disappear, and too low a stacking fault density results. The gettering performance, extrinsic or intrinsic, is monitored by etching the front polished surface, in which the device structures are fabricated, to reveal point defect sites: S-pits - shallow saucer etch pits, or haze, which are known to be related to the presence of heavy metal impurities, to low carrier lifetimes, and to emitter-collector leakage, which are all detrimental to yields. Again where the device process involves a number of high temperature stages, the extrinsic gettering performance gradually falls, and a higher initial damage level is necessary to counter this. For bipolar applications the same rules stand, but now the process employs higher temperatures, up to 1200 “C, where shallow

1.8 Gettering Engineering

damage sites are more easily annealed out, and gettering performance falls more rapidly through the successive high-temperature stages. While damage depths around 1-1.5 pm may be adequate in an MOS process, bipolar conditions can demand 24 pm, and even then the efficiency may be lower. Alternative approaches for inserting the mechanical back-surface damage, also widely used, are brush damage, or abrasive polishing, of the deep-etched slice, an example of which is seen in Fig. 1-33. By choice of materials and operating conditions (soft or hard brush, abrasive size, pressure, etc.) well-controlled products result, suitable for both MOS and bipolar applications. Finally, in a further development of extrinsic gettering, it has been recognized that fine grain polycrystalline silicon is an excellent, high temperature resistant, gettering material. Using low pressure chemical vapor deposition (LPCVD) and a silane source, in a process closely similar to that employed during the fabrication of polysilicon interconnects, a thin, 1-2 pm, layer is deposited on the deep-etched slices, at a temperature of 6O0-65O0C, prior to the polishing stage, which becomes the extrinsic gettering backside of the slice. Known as enhanced gettering (EG) this additional step is obviously rather more expensive to manufacture than the other routes described for providing extrinsic gettering, but its performance, particularly in the multistage higher temperature applications, such as in bipolar circuits, is superior, maintaining very low S-pit densities, and high lifetimes, as shown in Fig. 1-34. Achieving the best results in this field involves very close liaison between the slice manufacturer and the consumer device engineer' in Order to match the incoming material to the specific fabrication process.

55

Figure 1-33. Extrinsic gettering by abrasive (brush) treatment: (a) and (b) show lower and higher damage, respectively. Note the well-etched underlying substrates.

56

10'

1 Silicon Processing

'

I

I

r 3 4 5 Number o f oxidation cycles

1

2

I 6

Figure 1-34. Enhanced gettering by deposited polysilicon. Compariton between EG and mechanical backside damage ( M B D ) treatments. Material: Medium oxygen content, p-type, (100) orientation. Test: bipolar oxidation cycle - 1 I O O T , steam, 2 h. S p i t s : x : lifetime: orientation: 0.

1.8.2 Intrinsic Gettering in Silicon The beneficial effects of oxygen precipitates in the bulk of a device structure, and also in the substrate of an epitaxial slice, were reported by Tan et al. (1977) and Yang et al. (1978). Now there are many papers on this topic, which, since it directly interfaces to device processing, has attracted much attention. The single stage heat treatments described in Sec. 1.7.2 are obviously far too slow to provide crystal-engineered slices tailored to meet device specifications. However, this is not the only constraint. Any useful process must make consistent intrinsically gettered slices using input silicon slices containing the varying amounts of oxygen typical of normal Czochralski growth. Earlier work concentrated on two-step processes, with a first high temperature heat treatment, followed by a second at a lower temperature, the so-called HI-LO, treatment. Typical times and temperatures used

are: 16 h at 1150°C and 64 h at 650°C (Yamamoto et al., 1980). While other variants of two-step treatments have been proposed, this HI-LO process shows the principles, using the models developed in Sec. 1.7.2 above. In the first step, the high temperature, 1 150"C, anneal is in a range where the supersaturation of bound interstitial oxygen is relatively low but diffusion high; any preexisting microprecipitates near the surface tend to dissolve. Oxygen readily diffuses to the surface oxide, so developing a concentration gradient near the surface, while deeper in the bulk, precipitates start to form. In addition to conventional analysis methods, for example, by a SIMS profile on a cut section through the slice, the concentration gradient from the out-diffusion can also be measured by reheating the sample at 450 "C, to generate thermal donors from the remaining interstitial oxygen, and then making a microresistivity scan on a beveled section, to calculate the gradient profile. The results from material with a bulk value [O,] around 8 x lOI7 cme3 show the surface concentration falling to around 5 x 1017 after 6 h, with a precipitate denuded zone 20 pm deep, while after 16 h the values are around 3-4 x I O l 7 with a denuded zone up to 50 p m deep. While the interstitial oxygen content is lowered at step 1, in the following low temperature step 2 at 650 "C the supersaturation is still high and precipitate growth continues at the sites formed at step 1 but there is little added fresh bulk nucleation. The desired intrinsic gettering structure, bulk precipitates and a surface denuded zone, is achieved - but there are problems. The amount of bound interstitial oxygen precipitated by this process, and whether or not a denuded zone is formed, are a direct function of the original oxygen content, as shown in Fig. 1-35. In addition, in this plot

1.8 Gettering Engineering

a

Lo, 0

+

IV

~~

7.0

J

Denuded zone

No denuded

1

1

I

8.0

I

, 9.0

I

, 10.0

Initial oxygen concentration [ O , I ( 1 0 ~ ~ 3 )

Figure 1-35. Two-stage oxygen precipitation in silicon. Thermal cycles: 1 150°C, 16 h; 6 5 0 ° C 64 h. Other two-stage processes exhibit similar behavior, with no denuded zone formation below an initial oxygen concentration of around 8x 10" atoms/cm3.

the wider scatter of results from material of lower initial oxygen content reflects the effects of other contributory factors. For example, in the influence of carbon on nucleation, where using material of normal high oxygen content but ultralow in carbon, < 3 x 1015 atoms/cm3, the precipitation is heavily retarded, and there is no denuded zone formation (Wilkes, 1983). The effects of not precipitating enough oxygen have been demonstrated by de Kock (1982), who found that, during an n+ phosphorus diffusion into an epitaxial layer, under the diffused region the denuded zone width shrank, in one case from 50 to 25 ym, in another from 25 y m to zero. His interpretation of the denuded zone shrinkage under the diffused islands is that the rapid formation of critical nuclei and secondary precipitation is due to the local injection of a large excess of silicon self-interstitials. This links to the diffusion jump mechanism and enhanced diffusion described in Sec. 1.7.3. Such secondary precipitation is quite general, and may build up throughout a multistage process, rather than at one particular

57

step. Again, during lower fabrication temperature CMOS device processing, using substrates of medium to high oxygen content, difficulties are often encountered because of thermal donor formation, which make voltage threshold adjustment steps necessary. Reducing the residual oxygen concentration eliminates this problem. Thus, while many intrinsic gettering studies have concentrated on the aspects of denuded zone depths, and the precipitate sizes and number densities, the residual bound interstitial oxygen concentration present afterwards is a crucial performance parameter. Some two-step gettering processes rely on the first oxidation in the MOS fabrication line, at a temperature of 1000-1 lOO"C, to provide some further precipitation, but as the oxides required get thinner, and oxidation times shorter, this is insufficient. An intrinsic gettering process, which overcomes these problems and permits matching, to optimize the material characteristics to individual device lines, is provided by a three-step system which separates control of the desired parameters. The concepts are illustrated in Fig. 1-36, which shows the purpose of each step. The highest oxygen concentrations, normally met at the top of Czochralski crystals, are around 1x10'' atoms/cm3 which corresponds to a maximum solid solubility temperature of approximately 12OO0C, lower for the remainder of the crystal which contains less oxygen. In step 1 the slices are heated at a temperature chosen in the range 11001200"C, above the solid solubility values for most slices, while even in the "worst cases" the supersaturation is very low. There is no precipitation and any pre-existent grown-in nuclei (Fig. 1-28) are dispersed, to ensure that all the material is in a uniform state. Out-diffusion reduces the oxygen content substantially as described above, the time, commonly in the range of

58

1 Silicon Processing

5-10 h, defining the chosen depth of the denuded zone to follow, Fig. l-36a. Next, in step 2, the slices are given a low temperature heat treatment, for example, at 750°C for times between 5 to 30 h. In accord with the theory a large number of small nuclei form and begin to grow slowly, except in the reduced oxygen content layer close to the surface, where very few are formed, any that are being of very small size, Fig. 1-36b. The assemblage so produced has a statistical particle size distribution, increasing slowly as longer times are chosen, while, as required by the Ham theory and depicted in

Fig. 1-36c, their total numbers remain near constant. The concept of the stability of particles of greater than some critical radius, rc, has been introduced above. The value of this radius depends on a number of factors: (a) The surface free energy of the particlematrix interface, 0, and the volume free energy change of the precipitate, AF'" (Burke, 1965), where (1-18)

Diffusion

r

1:

n

z

Distance from surface X

(a)

I

Temperature

Distance from surface X id)

(b)

I

IO, 1

Oxygen diffuses t o growing

4

Denudedl zone I

Distance from surface X

(el

Figure 1-36. Three stage oxygen precipitation in silicon. Crystal engineering: (a) stage 1 : outdiffusion of oxygen to surface at 1 IOO'C; ( b ) stage 2: nucleation at 750°C; ( c ) particle size distributions at stage 2; (d) critical radius, rc, for particle growth as a function of temperature; (e) stage 3: particle growth at 1000°C.

1.8 Gettering Engineering

(b) The degree of supercooling, AT, the difference between the chosen anneal temperature, T , and that higher temperature at which the solute oxygen concentration, C,, is at saturation equilibrium, and the activation energy, E,, for the formation of a nucleus of the critical radius, are related by

( 2)

N , = Co exp -~

(1-19)

where N, is the concentration of precipitate particles. (c) The volume free energy is related to the supercooling and the enthalpy of reaction, AH, by (1-20)

..=(?)AT

Finally the surface free energy for the precipitate-matrix interface is obtained from (1-21) L

J

Values for critical radii have been calculated for various temperatures and degrees of supersaturation (Freedland et al., 1977; Osaka et al., 1980). These are all very small, ranging from around 10 A at a temperature of 1050"C, which corresponds to nuclei containing clusters of about 100 atoms, down to only 3 - 4 A and clusters of 6-10 atoms at 650°C. While these numbers are very small, it should be remembered that the final precipitates grown at 6 5 0 ° C while of platelet structure, have an "equivalent" spheroid radius of only around 30 P\ (see Fig. 1-30). The form of the temperature dependence of the critical radius is shown in Fig. 1-36d. Further extension of this model to the rates of nucleation leads to predictions

59

of incubation times at the outset of single stage anneals, while stable nuclei are being formed, in accord with observations (Capper et al., 1977; Hu, 1981; Inoue et al., 1981). However, the important point to note is that the critical radius is temperature dependent, and at 750 "C is much smaller than at 1000°C. Therefore, when in stage 3 the slices are heated for some hours at 1000°C most of the small particles generated at stage 2 redissolve, leaving only those at the upper end of the statistical distribution to continue to grow. A longer heating time at stage 2 leaves more larger nuclei - so this stage defines the number density to particles from the overall precipitation process. The final stage then determines how much of the oxygen initially present is to be precipitated, and so the particle size, see Fig. 1-36e (Wilkes, 1988). The matrix of Fig. 1-37, taken from the work of Huber and Reffle (1983), shows this three-stage process in operation. All the slices were given the same stage 1 out-diffusion of 10 h at 1 100"C then groups were nucleated at 750 "C for increasing times, before the final precipitate growth at 1000 "C again for 4 increasing times. The expected pattern is seen with all having about the same denuded zone depth, while the particle density increases with stage 2 time, down the figure, and the particle size with stage 3 time, from left to right across the figure, in a well-controlled manner. The ability of the threestage process to handle a wide range of input oxygen concentrations is shown in Fig. 1-38 in comparison with two-stage results, where the high and consistent reduction in the initial oxygen level achieved ensures minimal further precipitation during subsequent device fabrication. In summary then, a three-stage intrinsic gettering process can overcome the earlier problems met in two-step methods. It ac-

60

1 Silicon Processing

Figure 1-37. A three-stage precipitation matrix. Note the clear separation of stage functions defining: (1) the denuded zone depth, ( 2 ) the number density, and (3) the precipitate size. Stage ( I ) was outdiffusion at 1100°C for 10 h. The initial oxygen concentration was 8 . 1 5 ~ 10” atoms/crn3. (By kind permission of Huber and Reffle, 1983.)

cepts a wide input oxygen range, and the functions are separated, with stage 1 defining the denuded zone, stage 2 the particle density, and stage 3 the particle size and the total amount of supersaturated bound interstitial oxygen removed from solid solution. The three stages allow the parameters to be

varied to meet individual customer requirements to match the material to the specific device fabrication process. Today closer links between the silicon suppliers and the users are essential. The crystal engineering of large diameter wafers has been noted previously in regard to the

1.9 Acknowledgements

61

Figure 1-38. The reduction in bound interstitial oxygen after two-stage (0)and three-stage annealing (x). The marked superiority of the three-stage process is obvious.

Initial oxygen concentration 110’~atoms/cm3)

rising demands for the control of the oxygen level in pf and n+ substrate materials, in addition to the attention already paid to this in normal p and n silicon. Both intrinsic and extrinsic gettering have been studied and practiced for many years. Properly engineered CZ crystals incorporating internal or external gettering or both have been shown to benefit device performance. As in the other sectors examined in this chapter, in wafer manufacture the market pressures have been linked closely to the advances demanded in the technical attributes engineered into the material. Knowledge of the interaction between crystal microdefects and impurities, and device fabrication and performance has increased dramatically, and has impinged on the whole process, from crystal growth onwards, which has become more and more specialized, in the hands of the high capacity merchant producers. It is here, where the closest collaboration between the silicon material vendors and the device makers is most essential.

1.9 Acknowledgements The work described here represents over 30 years of continuing research and development. The author is indebted to his many colleagues in the Materials Departments at Philips/Mullard, Southampton for their years of valued, exciting, and enjoyable, support. Particularly I must record my thanks to Dave Perkins, Roland Kingsnorth, Dave Griffiths, and Ian Baldwin, and, for their encouragement, to Stand Bradshaw, and Dr. Max Smollett and Dr. Brian Avient. I also wish to recognize our long collaboration with the U.K. teams led by Prof. Ron Newman (Reading University), Dr. Keith Barraclough (RSRE, Malvern), and Prof. Ed Lightowlers (London University), in a wide range of joint projects. The contributions to my understanding of the silicon field from many friends in the major equipment and materials suppliers, and device houses around the world must be noted. In particular, Bob Lorenzini (Siltec), Rem0 Pellin and Gordon Martin (Monsanto), Ken Jackson (Bell Labs.), Ed Giess (IBM), and Don Jackson (Motorola), have shared and discussed new developments

62

1 Silicon Processing

over a long period. The close links with Dr. Erhard Sirtl and Dr. Dieter Huber (Wacker Chemitronic) have contributed directly to the preparation of this chapter. Figure 1-37 (first published by Wilkes, 1983) came from the work of Huber and Reffle, and was reproduced with their permission, while Horst Fleischmann has been a prime source for my awareness of the market pressures and industry trends.

1.10 References Abe, T., Kikuchi, K.. Shirai, S . , Muraoka, S . ( 1 9 8 1 ~ in: Srmicoriductor Silic,ori 1981: Huff, H. R., Kriegler. R. J . , Takeishi, Y. (Eds.). Pennington. NJ: Electrochem. Soc.. PV81-5, p. 54. Akiyama. N., Yatsurugi. Y., Endo. Y., Imayoshi, Z.. Nozaki, T. ( l973), Appl. Phys. Lett. 22, 630. Amouroux, J., Morvan. O., Apostolidou, H., Shootman. F. (1986). Electrochem. Soc. Exretided Abstr. No. 298. PV86-I. 441, Aulich. H. A,, Eisenrit. K. H., Schulze, F. W.. Strake. B.. Urbach, H. P. (1985), 6th E.C. Photo\dtuic Energ? Conf London: Comrnun. Eur. Cornmutiities Rep. EUR 10025. p. 95 I , Bains. S . K., Barraclough. K. G.. Griffiths, D. P.. Series. R. w.. Wilkes. J . G. (1990), J . Electrochem. Sac. 137, 647. Barraclough, K. G . (1982), in: S y m p Aggregtirioti Phenomenu of Point Defects in Silicon, ESSDERC, Munich: Sirtl, E., Goorissen. J . , Wagner, P. (Eds.). Pennington, NJ: Electrochem. Soc., P V83-4, p. 176. Barraclough, K . G.. Series, R. W. (1988), Patent GB 8 805 478. Barraclough, K. G., Wilkes, J. G. (1986), in: Seniicotiductor Silicon 1986: Huff, H. R., Kolbesen, B. 0.. Abe. T. (Eds.). Pennington. NJ: Electrochem. Soc., PV 86-4, p. 889. Batterman, B. W., Hildebrandt. G . ( 1968), Actu Crystallogr: A24, 150. Benton, J. L., Kimmerling. L. C.. Stavola, M. (1983). Physicti B 116, 271. Bergholtz, W.. Binns, M. J., Booker, G. R.. Hutchins o n . J . C.. Kinder, S. H.. Messoloras, S . , Newman, R. C.. Stewart. R. J.. Wilkes. J. G . (1989). Phil. Mug. R 59, 499. Binns, M. J . , Brown, W. P., Livingston, F. M., Messorolas, S . . Newman, R. C., Stewart, R. J., Wilkes. J. G. (19831, Appl. Phys. Lett. 42, 525. Bischoff. F. (1954). Patent DBP 1 134 459. Bloem. J., Classen, W. A. P. (1980), J . Cpsr. Growth 49. 435 (part I ) , and 807 (part 2). Bloem. J . . Classen. W. A. P. ( I 983-84). Philips Tech. Rei: 41. 60.

Bloem, J., Gilling, L. J . (1978), in: Current Topics in Materials Science, Vol. 1: Kaldis, E. (Ed.). Amsterdam: North-Holland, p. 147. Bollinger, D., Zarowin, C. B. (1988), in: Advunces in Fubrication arid Merrologp f o r Optical and Large Optics, Vol. 966: SOC.of Photo-Optical Instrumentation Engineers. Bellingham, WA, pp. 82-90. Bond, W. L., Andrus, J. (1952), Am. Minerul. 37,622. Buck, T. M., McKim, F. S. (1956), J. Electrochem. Soc. 103, 593. Burke. J. ( 1 9 6 3 , The Kinetics of Phase Trunsformariotis iri Mertils. London: Pergamon, Chaps. 6 and 7. Burton, J . A,, Prim, R. C., Schlichter, W. P. (l9S3), J . Chem. Phxs. 21, 1987. Capper, P., Jones, A. W., Wallhouse, A. J., Wilkes, J. G . ( 1977). J. Appl. Phvs. 48, 1646. Carlberg, T. (l986), J . Electrochem. Soc. 133, 1940. Carruthers, J. R. (1967), J . Electrochem. Soc. 114, 1077. Carruthers. J . R., Nassau, K. (1968). J. Appl. Phys. 39, 5205. Carruthers, J. R., Witt, A. F., Reusser, R. E. (1977), in: Semiconductor Silicon 1977: Huff, H. R., Sirtl, E. (Eds.). Pennington, NJ: Electrochem. SOC., PV77-2, p. 70. Cartwright, R. A., El-Kaddah, N., Szekely, J. (1985), IMA J . Appl. Math. 35, 175. Chedzey, H. A,, Hurle, D. T. J . (1986), Nature 210, 933. Chiou. H. D., Lee, T. Y. T., Teng, S. (1997), J. Elecrrochem Soc. 144, 288 1-2886. Claeys, C., Declerck, G., Van Overstraeten, R., Bender, H., Van Landuyt, J., Amelinckx, S . (198l), in: Srmicwnductor Silicon 1981: Huff, H. R., Kreigler, R. J., Takeishi, Y. (Eds.). Pennington, NJ: Electrochem. Soc., P V 8 I - 5 . co*ckayne, B., Gates, M. P. (1967), J. Mater. Sci. 2 , 118. Corbett, J . W., Watkins, G . D. (1961),J. Phys. Chem. Solids 20, 3 19. Craven, R. A. ( l 9 8 l ) , in: Semiconductor Silicon 1981: Huff, H. R., Kreigler, R. J., Takeishi, Y. (Eds.). Pennington, NJ: Electrochem. Soc., P V815, p. 254. Crossman, L. D., Baker, J. A. (1977), in: Semiconductor Silicon 1977: Huff, H. R., Sirtl, E. (Eds.). Pennington, NJ: Electrochem. SOC.,PV77-2, p. 18. CLochralski, J . (1917), Z. Phys. Chem. 92, 219. Dash. W. C. (1958), J. Appl. Phps. 29, 739. Dash, W. C. (1959), J. Appl. Phps. 30,459. Dash, W. C. (1960), J . Appl. Phys. 31, 736. Davies. G . (l989), in: Proc. 15th Int. Conf Dejtcts in Semiconductors, Budapest, Aug. 1988. Mater. Sci. Forum 3841 ( I ) , de Kock, A. R. J. (1983), Proc. Symp. ESSDERC, M u nich. Pennington, NJ: Electrochem. Soc., PV83-4, p. 58. Deslattes, R. D., Paretzkin, B. (1968), J. Appl. Crq'srtrllogr: I , 176.

1.10 References

Dietl, J., Holm, C. (1968), Electrochem. SOC. Extended Abstract N. 299, PV86-I, 441. Dietl, J., Helmreich, D., Sirtl, E. (1981), Solar Silicon, In: Crystals: Growth, Properties, and Applications, Vol. 5: Grabmeier, J. (Ed.). Berlin: Springer, p. 43. Dosaj, V. D., Hunt, L. P., Schei, A. (1978), J. Met. 30, 8. Dupret, F., Ryckmans, Y., Wouters, P., Crochet, M. J. (1986), J. Cryst. Growth 79, 84. Ekhalt, U., Caarlberg, T. (1989), J. Electrochem. SOC. 136, 551. Ellis, W. C., Treuting, R. G. (1951), J. Met. 191, 53. Freedland, P. E., Jackson, K. A,, Lowe, C. W., Patel, J. R. (1977), Appl. Phys. Lett. 30, 31. Gosele, U., Tan, T. Y. (1983), Proc. Symp. ESSDERC, Munich: Pennington, NJ: Electrochem. SOC.,PV834, p. 17. Ham, F. S. (1958), J. Phys. Chem. Solids 6, 335. Healy, G. W. ( 1 970), Earth Mine,: Sci. 39, 46. Herrmann, H. A., Herzer, H. (1975), J. Electrochem. SOC.122, 1568. Herrmann, H. A,, Mucke, E. (1973), 2nd DFG Colloquium on Power Devices, Freiburg. Herzer, H. (l977), in: Semiconductor Silicon 1977: Huff, H. R., Sirtl, E. (Eds.). Pennington, NJ: Electrochem. SOC.,PV77-2, p. 106. Herzer, H. (1980), Proc. 3rd In?. Conf on NTD Silicon, Copenhagen, New York: Plenum. Hirata, H., Hoshikawa, K. (19891, J . Cryst. Growth 96,47-755. Hirata, H., Hoshikawa, K. (1989), J. Crystal Growth 98,777-78 1. Hoffman, A,, Reuschel, K., Rupprecht, J. (1959), J. Phys. Chem. Solids 11, 284. Hoshi, K., Suzuki, T., Okubo, Y., Isawa, N. (1980), Electrochem. SOC.Extended Abst,: No. 324, PV80I , 81 1. Hoshikawa, K. (1982), Jpn. J. Appl. Phys., Parr2, 21, L545. Hoshikawa, K., Kohda, H., Hirata, H. (l984), Jpn. J. Appl. Phys. 23, L37. Hu, S. M. (1981), J. Appl. Phys. 52, 3974. Huber, D., Reffle, J. (l983), Solid State Technol. 26, 137. Hurle, D. J. T. (1967), in: Crystal Growth: Peiser, H. S. (Ed.). Supplement to: J. Phys. Chem. Solids. Oxford: Pergamon, p. 659. Hurle, D. T. J., Jakeman, E., Johnson, C. P. (1974), J . Fluid Mech. 64, 565. Inoue, N., Wada, K., Osaka, J. (1981), in: Semiconductor Silicon 1981: Huff, H. R., Kreigler, R. J., Takeishi, Y. (Eds.). Pennington, NJ: Electrochem. SOC.,PV81-5, p. 282. Jackson, K. A. (1988), Bull. Of Allou Phase Diagrams, Vol. 9, No. 5 , p. 548. Jackson, K. A. (1990), Recent results, private communication. Kaiser, W. (1957), Phys. Rev. 105, 1751. Kaiser, W., Keck, P. H., Lange, C. F. (1956), Phys. Rev. 101, 1264.

63

Kaiser, W., Frisch, H. L., Reiss, H. (1958), Phys. Rev. 112, 5. Kakimoto, K., Eguchi, M, Watanabe, H., Hibiya, T. (l988), J. Cryst. Growth 88, 356. Kakimoto, K., Eguchi, M. Watanabe, H., Hibiya, T. (1989), J . Cryst. Growth 89, 412. Keck, P. H., Golay, M. J. E. (1953), Phys. Rev. 89, 4297. Keller, W. (1959), Platent DBP 1 148 525. Kern, W., Puotinen, D. A. (1970), RCA Rev. 31, 187. Kern, W., Puotinen D. A. (1970), RCA Rev. 31, 187-206. Kern, W. (l984), Semicond. International, pp. 94-99. Kim, K. M., Smetana, P. (1990), J. Cryst. Growth 100, 527. Kishino, S., Matsush*ta, Y., Kanamori, M. (1979), Appl. Phys. Lett. 35, 213. Kobayashi, N. (1978), J. Cryst. Growth 52,425. Kobayashi, N., Arizumi, T. ( 1 970), Jpn. J . Appl. Phys. 9,361 and 1255. Kobayashi, N., Wilcox, W. R. (1982), J . Cryst. Growh 59, 6 16. Kubota, H., Numano, M., Amai, T., Miyash*ta, M., Samata S . , Matsush*ta Y. (1994), in: Semiconductor Silicon 1994: Huff, H. R., Bergholtz, W., Surnino, K. (Eds.). Electrochem SOC.Pennington, New Jersey, 225-231. Langlois, W. E. (1984), J. Cryst. Growth 70, 73. Langlois, W. E. (1985), Annu. Rev. Fluid Mech. 17, 191. Langlois, W. E., Shir, C. C. (1977), Comput. Methods Appl. Mech. Eng. 12, 145. Lark-Horowitz, K. (195 I ) , Proc. Con& Semiconducring Materials, Reading, U.K. London: Butterworth, p. 47. Lin, W., Moerchel, K. G. (1986), in: Reduced Temp. Proc. f o r VLSI: Reif, R. (Ed.). Pennington, NJ: Electrochem. SOC.,pp. 438-452. Lin, W., Benson, K. E. (1987), Annual Review of Materials Science 17, 213-298. Lin, W. (1996). in: Proc. 2nd lntern Symp on Adv. Science and Technology of Silicon Materials: Urneno, M. (Ed.). Kono. Livingston, F. M., Messoralas, S., Newman, R. C., Pike, R. J., Stewart, R. J., Binns, M. J., Brown, W. P., Wilkes, J. G. (1984), J. Phys. C: Solid State Phys. 17,6253. Lyon, D. W., Olsen, C. M., Lewis, E. D. (1949), J . Electrochem. SOC.96,359. Matsush*ta, Y. (1982), J. Cryst. Growth 56, 516. Meese, J. M. (Ed.) (1978), 2nd In?. Conf on NTD Silicon, Missouri, U.S.A. New York: Plenum (29 Refs.). Moody, J. W. (1986), Proc. Semiconductor Silicon 1986: Huff, H. R., Kolbesen, B. O., Abe, T. (Eds.). Pennington, NJ: Electrochem. SOC.,PV86-4, 100. Moreland, J. A. (1985), in: VLSI Electronic Microstructure Science, Vol 12: Einspruch, N. G., Huff, H. R. (Eds.). Academic Press, New York, pp. 63-87.

64

1 Silicon Processing

Murgai, A,, Patrick, W. J., Combronde, J., Felix, J. C. (1982), IRM J . Res. Der'. 26, 546. Newman, R. C. (1973). Infrared Studies of C y s t a l Defects. London: Taylor and Francis. Newman, R. C. (1988),Mater. Res. Soc. Symp. Proc. 104, 25. Newman, R. C., Claybourn. M. (1988), Inst. Phys. Con$ Sex 95, 2 1 I . Newman, R. C., Binns, M. J., Brown, W. P., Livingston, F. M., Messorolas, s., Stewart, R. J., Wilkes, J. G . (1983a), Physica B 116, 264. Newman, R. C.. Tucker. J . H., Livingston, F. M. (1983b), J. Phys. C: Solid State Phys. 16, L 151. Osaka. J., Inoue. N., Wada, K. ( l 9 8 0 ) , Appl. Phys. Lett. 36, 288. Pfann, W. G. ( 1952), J. Met. 4 , 747. Pfann. W. G . (1958). Zone Melting. New York: Wiley. Robbins, H., Schwartz, B. J . (1960), Electrochem. SOC. 107, 108- 1 1 I . Robertson, D. S . i1966), Br. J. Appl. Phys. 17, 1047. Rutter. J . W., Chalmers. B. (1953), Can. J. Phys. 31, 15.

Schlichting, H. (1968), B o u n d a n Layer T h e o n , New York: McGraw-Hill. Chap. 12. SEMI International Standards, Materials Volume. SEMI International. Mountain View, CA. 1994. Series, R. W. (1989). J . C y s t . GroH,th 97, 92-98 Shimizu, H., Sugino, Y., Suzuki, N., Kiyota, S . , Nagasawa, K., Fujita, M., Takeda, K., Isomae, S . (1997). Jpn. J. Appl. Phxs. 36, 2565-2570. Shimura, F., Hockett. R. S . , Reed, D. A,, Wayne, D . H . ( 1985), Appl. Phys. Lett. 4 7 , 794. Showa Denko, K. K. (l984), Patent Japan 5 930 7 1 1, Steinbeck, H. H. (1980a), Electrochem. Soc. Estended Abstracts. PV80-2, 1325. Steinbeck, H. H. (1980b), Proc. 1st Eur. Symp. Materials and Proc.e.vsing. Mountain View, CA, U.S.A. SEMI, p. 57. Stimmel. J., Strathman, M., Wittmer, M. (Eds.) (1986). Materials Issues in Silicon IC Processing. Mater. Res. Soc. Symp. Proc. 71. Sundermeyer (1957). Patent. Suzki, T., Isawa, N., Okubo, Y., Hoshi, K. (1981). in: Semiconductor Silicon 1981, Huff, H. R., Kreigler, R. J.. Takeishi, Y. iEds.). Pennington, NJ: Electrochem. Soc., P V81-5, p. 90. Tamura, M., Sunami, H. (1972). Jpn. J. Appl. Phy.s. 1 1 . 1097. Tan. T. Y., Gardner, E. E.. Tice, W. K. ( 1 9 7 7 Appl. ~ PhJs. Lett. 30, 175. Tanenbaum, M.. Mills, A . D. (1961), J . Electrochem. SO(.. 108. I7 1 . Tanner. B. K . (1977). X-Ray Di'ruction Topography. Oxford: Pergamon, p. 50. Taylor. P. A. ( 1987). Solid State Technol. 30, No. 7 , 53. Taylor, P. A. (1988). J. C y s t . GroH,th 8 9 , 28. Teal. G . K., Buehler, E. (1952). Phys. Ret: 8 7 , 190. Theurer. H. C . ( l 9 S 2 ) , Patent USP 3 060 123.

Theurer, H. C . (1956), Trans. AIME 206, 1316. Thomas, D. J . D. (1963), Phys. Status Solidi 3, 2261. Tokumaru, Y., Ohushi, H., Masui, T., Abe, T. (1982), Jpn. J. Appl. Phys. 21, 443. Townley, D. 0. ( l 9 7 3 ) , Solid State Technol. 16, 43. Umeno, S . , Okui, M., Hourai, M., Sano, M., Tsuya, H. (1997). Jpn. J. Appl. Phys. 36, L 591. Voronkov, V. V., Falster, R., Holzer, J. C. (1997), in: Cnstalline Defects and Contamination: Their Impact and Control in Device Manufucturing 11: Kolbeson, B. O., Stallhofer, P., Claeys, C . , Tardiff, F. (Eds.). Electrochem. SOC.Pennington, New Jersey, p. 3. von Amrnon. W. (1996), in: Proceeding of 2nd Int. Symp. on Adv. Sci. and Tech. of Silicon Materials: M. Umeno (Ed.). Kono, pp. 233-241. Wada, K. ( 1 984), Phys. Rev. B 30,5884. Wada, K., Inoue, N. (1986), in: Semiconductor Silicon 1986: Huff, H. R., Kolbesen, B. O., Abe, T. (Eds.). Pennington, NJ: Electrochem. SOC., PV864 , p. 778. Walsh, R. J., Hertzog, A. H. (1963), Patent USP 3 170 273. Wanatabe, M. (1991). Solid State Technol. 34, 69, 133. Wilkes, J. G. (1959), Proc. IEE 106 B, Supp. 17, 866. Wilkes, J . G. (1983). J. C y s t . Growth 6 5 , 214. Wilkes, J. G. (1988), Trans.-Inst. Min. Metall. 97, C 72. Wilkes, J. G., Perkins, D. W. (1971-72), DCVD Res. Rep. RP6-62. London: Ministry of Defence. Winegarner, R. M. ( l 9 9 8 ) , Silicon Industrj, Sage Concepts, Forestville, CA, 1998. Witt, A. F., Herman, C. J., Gatos, H. C . (1970), J. Mater. Sci. 5 , 822. Yamagishi, H., Minami, H., Imai, M., Misawa T., Takada. K. (1996). Proc. 2nd Intern. Symp on Adv. Tech. uf Silicon Materials: Umeno, M. (Ed.). Kono, p. 59. Yamamoto, K., Kishino, S . , Matsushi, Y., Iizuka, T. ( 1980). Appl. Phys. Lett. 36, 195. Yang, K. H., Kappert, H. F., Schwuttke, G. H. (1978), Phys. Status Solidi A 50, 221. Yatsurugi, Y., Akiyama, T., Endo, Y., Nozaki, T. ( 1973). J. Electrochem. Soc. 120, 985. Yusa, A,, Yatsurguri, Y., Takaishi, T. (1975), J. Electrochern. Soc. 122, 1700. Zeigler, G . (1961). Z. Naturforsch. 16a, 219.

General Reading Brice, J . C . ( I 973). The Growth of Clystals f r o m Liquids. Amsterdam: North-Holland. Einspruch, N. G., Huff, H. (1985), VLSI Electronics, Vol. 12: Silicon Materials. London: Academic. Gupta, D. C. (Ed.) (1983, 1984), Silicon Processing, Technical Publications 804 and 850. Philadelphia, PA: ASTM.

1 . 1 0 References

Hurle, D. T. J. (1993), Crystal Pulling from the Melt. Heidelberg: Springer. Mikkelsen, J. C., Corbett, J. W., Pearton, S. J., Penneycook, S . J. (Eds.) (1986), Oxygen, Carbon, Hydrogen, and Nitrogen in Crystalline Silicon. Mater. Res. SOC.Symp. Proc., Vol. 59. Pittsburgh, PA: Materials Research Society. Ravi, K. V. (1981), Imperfections and Impurities in Semiconductor Silicon. New York: Wiley. Stavola, M., Pearton, S. J., Davies, G. (Eds.) (1988), Defects in Electronic Materials. Mater. Res. SOC. Symp. Proc., Vol. 104. Pittsburgh; PA: Materials Research Society. Sze, S . M. (Ed.) (1983), VLSI Technology. New York: McGraw-Hill. Wolf, S., Tauber, R. N. (1986). Silicon Processingfor the VLSI Era, Vol. 1: Process Technology. Sunset Beach. CA: Lattice Press.

65

Crystals: Growth, Properties, and Applications, Vols. 1-10. Vol. 5 : Freyhard, H. C. (Ed.) (1981) for FZ Si. Vol. 7: Grabmaier, J. (Ed.) (1982) for CZ Si. Berlin: Springer. Semiconductor Silicon. This series of symposia organized by the Electrochemical Society reflects the whole development of silicon materials technology and provide a very important reference and reading resource: 1969 New York; 1973 Chicago; 1977 Philadelphia: 1981 Minneapolis; 1986 Boston; 1990 Montreal; 1994 San Francisco; 1998 San Diego. The proceedings are published by the Electrochemical Society, Pennington, NJ.

2 Compound Semiconductor Processing

.

J Brian Mullin

Electronic Materials Consultancy. Malvern. Worcestershire. U.K.

List of Symbols and Abbreviations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.2 Historical Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Purification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3 2.3.1 General Purification Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.2 Zone Refining and Related Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.3 Problems with Specific Compounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.3.1 InSb and GaSb . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.3.2 InAs and GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.3.3 InP and G a P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.3.4 II-VI Compounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4 Technical Constraints to Melt Growth Techniques . . . . . . . . . . . . . . . . . . . . . . 2.4.1 Chemical Reactivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.2 Melting Point . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.3 Vapor Pressure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Crystal Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5 2.5.1 Horizontal Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5.2 Vertical Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5.3 Crystal Pulling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5.4 Liquid Encapsulated Czochralski (LEC) Pulling . . . . . . . . . . . . . . . . . . . . . . . 2.5.4.1 The Low Pressure LEC Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5.4.2 The High Pressure LEC Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6 Crystal Growth of Specific Compounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6.1 InSb . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6.2 InAs and GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6.3 InP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6.4 II-VI Compounds: General . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6.4.1 Bulk Hg, -,Cd, Te . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6.4.2 CdTe and Cd,-,Zn, Te . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6.4.3 ZnSe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6.4.4 ZnS and CdS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.7 Fundamental Aspects of Crystal Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.7.1 Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.7.2 Temperature Distribution, Crystal Shape and Diameter Control . . . . . . . . . 2.7.3 Solute Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

69 70 70 73 73 74 74 75 76 76 76 77 78 79 79 79 80 82 84 86 86 86 87 88 88 90 92 92 93 94 94 95 96 96 99

68

2.7.4 2.7.5 2.7.6 2.8 2.9

2 Compound Semiconductor Processing

Constitutional Supercooling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Facet Effect. Anisotropic Segregation and Twinning .................... Dislocations and Grain Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wafering and Slice Preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

100 102 105

106 107

List of Symbols and Abbreviations

List of Symbols and Abbreviations

c,, c,, c, solute or dopant concentration (in the solid, in the liquid, at the interface)

d

depth of volume of convecting gas diffusion coefficient temperature gradient Miller indices latent heat of fusion dopant distribution coefficient equilibrium dopant distribution coefficient thermal diffusivity gradient of liquidus gas pressure pressure of gas, pressure of dissociation vapor of components Rayleigh number temperature growth velocity

D G h, k, 1 Hf k k0

KO m P PG, pd Ra

T V

6

boundary layer “thickness”, parameter of BPS model angle kinematic viscosity crystal density thermal conductivity interfacial energies angular rotation rate

ACRT BPS CRA HG LEC LPE MBE MCT MOVPE PBN PPba PPm QA RF SI TGZM THM VGF VP

accelerated crucible rotation technique Burton, Prim and Slichter cast recrystallize anneal horizontal growth liquid encapsulated Czochralski (techniques) liquid phase epitaxy molecular beam epitaxy mercury cadmium telluride metal organic vapor phase epitaxy pyrolytic boron nitride atomic parts per billion parts per million quench anneal radio frequency semi-insulating temperature gradient zone melting traveling heater method vertical gradient freeze (technique) vertical pulling

e

VO

Qs 0

hi$S,

4 G

69

70

2 Compound Semiconductor Processing

2.1 Introduction

2.2 Historical Background

This chapter reviews the general principles and practice governing the preparation and processing of compound semiconductors and their alloys, how they are purified, how they are prepared as single crystals and how they are converted into wafers suitable for epitaxial growth. The range of materials which can be classified as compound or alloy semiconductors is vast and covers the whole of the periodic table. It includes IV-IV, 11-IV, I-v, 11-v, 111-v, I-VI, 11-VI, 111-VI, IV-VI. v-VI, I-111-VI, I-IV-VI, I-vVI, 11-IV and 11-111-V compounds. However, because of the enormous cost of developing these materials as high-quality semiconductors most of these compounds are currently in a relatively primitive state of development when compared with Ge or Si. Indeed the only compounds which have been developed to a state of significant commercial application are to be found in the 111-V and 11-VI semiconductor groups of materials. It is with these classes of materials that this chapter will be mainly concerned. The efficient processing of semiconductors in a form suitable for device application requires a sound understanding of the practical technologies involved together with a knowledge of the scientific principles underlying these technologies. Both the technology and the science of the processing will be covered in this chapter. However, it is important to appreciate that the technology as opposed to the science of semiconductor processing is undergoing a constant evolution driven by ever more demanding specifications arising from of an ever increasing range of devices.

Probably the most important event which promoted significant scientific and technological research in the processing of semiconduction materials was the discovery of transistor action in germanium by Brattain and Bardeen (1948) which had been stimulated by the predictions of Shockley (1949). As a result serious international interest developed in the search for new semiconductors. 11-VI compounds had of course been known since before the beginning of the century, but the early work of Welker (1952, 1953) and his colleagues in Germany on 111-V compounds following the discovery of transistor action marked the beginning of the evolution of compound semiconductor processing. Our knowledge of semiconductor processing (Mullin, 1975 a, b, 1989; Thomas et al., 1993), indeed of all aspects of semiconductors and the solid state, is rooted in research on Ge in the 1950s. Even early work in this period highlighted the two overriding requirements for semiconductors, the need for high purity and the need for single crystals. The first requirement resulted in the creation of new methods of purification and the evolution of a most significant concept, the concept of semiconductor purity. This specified the need for unprecedentedly low levels of impurities, typically less than 10 parts per billion atomic (ppba) of electrically active impurities. The second requirement resulted in the development of new technologies for producing completely single crystals free from defects including dislocations. At the forefront of this materials work aimed at fulfilling these demands of purity and crystalline perfection was the development of the science and technology of crys-

2 . 2 Historical Background

tal growth. In less than a decade the intense research and development effort resulted in the melt growth of Ge developing from an art to a science. In the case of the compound semiconductors, the less difficult materials, like InSb, followed the pattern of evolution of Ge, and single crystals containing less than carriers/cm3 (1 ppba is equivalent to atoms/cm3) were state of the art 2.9 x well within a decade. However, in the case of the more difficult materials like GaAs, InP and Gap, their evolution has taken over three decades and is still in a development phase. For the very difficult materials like ZnSe no melt growth technology has yet been devised that can achieve reproducibly and readily acceptable quality single-crystal material, although there are promising developments (Rudolph et al., 1994). For ZnSe, vapor growth techniques are pioneering the way to semiconductor quality (Cantell et al., 1992). The key to the development of Ge was the creation of new melt growth technologies. Very significant contributions to our knowledge resulted from the pioneering work of Pfann (1966) on zone melting and Teal (1958) on the vertical pulling of single crystals. Pfann (1966) initiated the concept of zone melting. This generic term covers a range of related horizontal crystallization technologies. The simplest technology is the single zone freeze in which a horizontal boat containing a molten charge is progressively frozen from one end. Other procedures were developed involving the translation of a liquid zone through a solid ingot. In particular it created two very powerful processing technologies, zone leveling and zone refining (see Sec. 2.3.2). Zone leveling was initially applied to Ge and resulted in a very successful crystallization technology for the production of

71

uniformly doped single-crystal material. This process involves the formation of a liquid zone in a solid ingot and its movement through the ingot in one direction and subsequently, for ideally uniform material, in the reverse direction. The liquid zone acquires a constant dopant concentration l / k times that in the solid, where k, the distribution coefficient, is given by k = Cs/C, and C, and C, are the concentrations of dopant in the solid and liquid respectively. This process levels out the dopant concentration in the solid so that the dopant concentration of the solid being melted is the same as the concentration in the solid being crystallized. The horizontal technologies were not only used for zone leveling and for purification by zone refining but they were also developed for the growth of single crystals. This was achieved by arranging for a molten zone to melt-back into a single crystal seed positioned at one end of a polycrystalline ingot. The solid which crystallized on the seed as the zone was moved through the ingot took up the orientation of the seed and resulted in the formation of a single crystal. In addition to H G for the growth of single crystals, the use of VP of crystals from the melts was pioneered by Teal (1958). The technique has its origins in the Czochralski technique. Czochralski (1917) arranged to dip a thin rod which acted like a seed into a molten melt of metal and withdraw it from the melt. As the liquid was pulled away from the melt it crystallized, giving regions of single crystal metal. However, this technology is far removed from modern crystal pulling technology. The modern pulling technique (Teal, 1958) was developed during the initial phase of semiconductor research at Bell Labs in the 1950s and early 1960s. The most important innovation was the intro-

72

2 Compound Semiconductor Processing

duction of rotation using a pull rod. A single-crystal seed was mounted in a chuck on the pull rod which could be raised and lowered at a set rate. In the pulling process the crystal nucleated on the seed and its diameter was controlled by adjusting the power to the melt. This concept had profound consequences for the semiconductor processing of single crystals. Theoretical work on crystal pulling has also had an important influence on the development of the technology. The work of Burton, Prim and Slichter (BPS) (Burton et al., 1953) on solute distribution during crystal growth proved to be most significant. They modeled solute transport in the melt adjacent to the rotating crystallizing surface using concepts developed by von Karman (1921) and Cochran (1934). BPS established the flow normal to the disc as a function of the crystal growth parameters enabling quantitative estimates to be made of the solute distribution from the interface into the melt. Use of the BPS model has stimulated much research and laid the foundations of a great deal of our understanding of the science of crystal growth from the melt. It has been used, for example, in the modeling of heavy doping during crystal pulling. This has resulted in a predictive theory of constitutional supercooling (Hurle, 1961; see Sec. 2.7.4). This knowledge is directly relevant to the crystallization of compound semiconductors from nonstoichiometric melts, where constitutional supercooling is a very common occurrence and can be a major problem seriously affecting crystal quality. The causes of nonuniform dopant or impurity incorporation are a major consideration in understanding the mechanisms of crystal growth. Of particular significance has been the discovery of the facet effect (Hulme and Mullin, 1959) and anisotropic

segregation (Mullin, 1962) of dopants during crystal growth. Also important are impurity striations, which are a common occurrence. Crystal rotation introduces periodic impurity incorporation due to the growth rate variations imposed by the rotating crystal. The incorporation of dopants will be developed in further detail in Sec. 2.1.5. The science of horizontal growth (HG) has lagged significantly behind that of vertical pulling (VP). In H G there is no effective working theory for convection in the molten zone and transient control of doping as opposed to uniform doping is not possible as it is in VP. The VP technique thus evolved as a favored tool for investigating the science of crystal growth from the melt. From an historical viewpoint it is instructive to follow the evolution and role of H G and VP techniques in relation to the science and technology of Ge and Si. The horizontal growth of Ge, a technology that pioneered purification by zone refining and the production of doped single crystals by zone melting, gradually emerged as the more cost effective crystal growth process and replaced the VP technique. Ultimately however, the semiconductor applications of Ge were taken over by Si, eliminating the need for Ge altogether with the exception of a few specialist applications such as the growth of very large crystals for detectors. These are fulfilled by pulling. It is interesting that the VP technique that was developed for Ge created the conditions for the single-crystal growth of Si. Silicon with its superior device properties has emerged as the dominant semiconductor and as such has had and continues to have a profound influence on every aspect of semiconductor processing. The VP technique has been refined and developed for Si and is still the dominant industrial tech-

2.3 Purification

nology for Si. But, also of major importance for Si is the float zone technique, in which a liquid zone out of contact with the container is moved through a vertical rod of Si. This zone refining action produces the very highest grade of single-crystal Si, a very important industrial requirement. Nevertheless, it is important to recognize that some of the unique properties of the compound semiconductors have also stimulated developments in semiconductor processing. Undoubtedly the very rapid expansion in our knowledge of semiconductor processing can be attributed to the relative ease of handling Ge and in particular to the ability to hold and crystallize molten Ge with negligible contamination from silica apparatus. The technology of Si is in many ways very different to that of Ge. It reacts with SiO, and cannot be crystallized in a silica boat. It also forms a tenacious oxide which requires special techniques to prevent its formation. Hence the importance of the pulling technique and the noncontacting float zone technique in its development. Technology never stands still. Zone refining has been developed (Hukin, 1989) for Si using a horizontal water-cooled Cu boat. A liquid zone is formed and levitated out of contact with the boat using RF fields. Two-meter, 125 cm2 section solar cell grade Si can be produced in this way. The 111-V and 11-VI compounds present different problems again to those of Si. The antimonides are similar in their attributes to Ge but the arsenides and the phosphides, selenides and tellurides suffer dissociative decomposition near their melting points, resulting in the loss of one of their component elements. As a result, closed-tube techniques needed to be developed in order to prevent vapor loss. This has stimulated new technologies such as

73

liquid encapsulation and more recently the vertical gradient freeze (VGF) technique to overcome this problem. The relatively slow development, over three decades, of these compounds is in no small way due to the difficulties associated with dealing with compounds which have a significant vapor pressure at the melting point. In addition the number of point defects at the melting point is high -10” cm-3. This leads to extended defects and doping nonuniformities and a range of problems not found in Si and Ge. The continuing challenge of processing technology is to understand and control these problems.

2.3 Purification The cost of developing the knowledge and technology to be able to process raw materials into device quality semiconducting compounds is enormous and inevitably involves a very significant research and development effort involving both purification and crystal growth. As a consequence, there are only a few highly developed compound semiconductors. These include InSb, GaAs, InP, GaP and CdTe and its related alloys with HgTe. Most of the IIVI compounds are still not readily available in wafer form as high-quality singlecrystalline material. The basic aspects of the purification technologies required to produce high-purity semiconducting compounds will now be considered. 2.3.1 General Purification Procedures

It is convenient to identify two stages in the purification of semiconductor compounds, firstly the purification of the elements themselves and secondly the purification of the compounds. From an historical perspective the role of the more con-

74

2 Compound Semiconductor Processing

ventional chemical purification procedures has been more useful than zone refining in purifying the elements. This can be appreciated from the early reviews in Willardson and Goering's book on 111-V compounds (1962). It is evident that work on zone refining of group I11 metals as well as phosphorus and arsenic was clearly not seen to be markedly effective. This coupled with the fact that zone refining represented an additional costly batch process meant that its use has always been problematical, especially for elements like In and Ga which are low melting point readily alloyable metals with a tendency, in the case of Ga, to supercool. Whilst zone refining has not been particularly useful for the common elements of groups I11 and V, in the case of groups I1 and VI zone refining has proved to be a very effective process for the production of ultra-pure Cd and Te. This development was made possible by military funding since these elements arse used in the preparation of HgCdTe for infrared detectors. Here very high purity elements, having less than 1 part in lo9 electrically active impurities are essential. It is evident that zone refining is most effective for strongly bonded materials which crystallize well and in which impurities have a low solubility. These criteria apply particularly to the compounds themselves. Thus many compounds can be zone refined but most compounds have their own peculiarities, demanding specialized processes. These will be considered for the more important compounds later.

purification procedure for Ge. The impurities that are less soluble in the solid, or more soluble in the liquid ( k , < l ) , are moved in the direction of crystallization towards the finish (last to freeze) end of the ingot whereas the impurities that are more soluble in the solid ( k , > l), that is, less soluble in the liquid, are moved to the start end of the ingot. Provided the distribution coefficients k , are not close to 1 - a condition satisfied by Ge - this very simple process can after very few zone passes produce semiconductor purity in an ingot. A remarkable result. One can appreciate the effectiveness of zone refining from the graphs in Fig. 2-1, where the theoretical ultimate distributions for impurities having different distribution coefficients are given. Orders of magnitude improvement in purification are indicated. However, these dramatic results must only be taken as a guide since solid-state diffusion and vapor transport can reduce the effectiveness of impurity removal.

2.3.2 Zone Refining and Related Techniques

' The equilibrium distribution coefficient k , of a solute (dopant, impurity or excess component) is the ratio of the concentration of the solute in the solid, C, to the concentration of the solute in the liquid, C,,if the phases are kept in contact for a sufficiently long period for them to come to equilibrium.

Zone refining, which involves the motion of a liquid zone or zones through an ingot, is the most important and effective

2.3.3 Problems with Specific Compounds Processing by conventional zone refining or chemical purification methods is often insufficient on its own as a means of achieving semiconductor purity in compounds. Inevitably there is some problem or problems, some difficult-to-remove residual impurity or some quirk of contamination that needs to be dealt with in an unconventional manner if the ultimate goal of semiconductor purity is to be achieved.

2 . 3 Purification

log

:

Initial concentration

I -24

t/

-30I

Length solidified

I

Figure 2-1. Theoretical ultimate distributions for dopants having different distribution coefficients ( k ) after multiple zone refining passes in an ingot where the zone length is 10% of the ingot length. It is assumed that there is no back reflection of dopant from the freezing of the last zone length. The results highlight the potential of zone refining (see Pfann, 1966).

In this section problems or aspects of purification will be considered which have proved to be important in the achievement of semiconductor purity of the more important compound semiconductors. It should be stressed that achieving semiconductor purity in compounds is a very demanding and generally costly process and one that is frequently underestimated. The processes of purification and the avoidance of contamination represent a continuous battle if the ultimate in semiconductor performance is to be achieved. In the case of many of the 11-VI compounds for example the presence of impurities could still be the principal problem preventing their effective development.

2.3.3.1 InSb and GaSb Indium antimonide (Hulme and Mullin, 1962) has attracted much more research and development (R&D) over the years than GaSb. Major factors in this interest are of course the device applications of the material. InSb, for example, is an impor-

75

tant infrared detector material suitable for detectors working in the 3-5 pm region of the spectrum. The low melting point of InSb, 525"C, combined with the negligible vapor pressure of Sb over its melt make InSb an ideal candidate for conventional zone refining procedures. However, the straightforward process is of limited value because of troublesome impurities, particularly Zn and Te. Not only do they exhibit anisotropic segregation (Mullin, 1962), but in the case of Te the value of its effective distribution coefficient, keff (see Sec. 2.7.5) can range from -0.5 for growth in an non[lll]direction to -4.0 for growth on a (1 11) facet. Thus Te would be distributed in polycrystalline material as though the effective k were some weighted mean of these values, that is, close to one. Zinc has a value of keffranging from 2.3 to 3.0. But more troublesome is its volatility at the melting point of InSb. Vapor transport of Zn above the ingot can reduce the efficiency of zone refining. This problem has been overcome by using the volatility of Zn to advantage in a two-stage evaporation and zone-refining procedure (Hulme, 1959). Zone-refined Sb in excess of that required to form stoichiometric InSb is added to high-purity In in a boat in a modified zone-refining apparatus and melted under vacuum. Both Zn and Sb evaporate from the molten charge and condense on the cooled upper surface of the outer containing tube. The excess Sb traps in the very small quantity of the more volatile Zn. After a timed period when the excess Sb has evaporated the ingot is cooled and frozen. It is then zone refined under an atmosphere of H,, a condition where the Sb has negligible volatility. The purification process is highly reproducible, resulting in the production of very high

- -

76

2 Compound Semiconductor Processing

purity InSb with some 60% of the ingot having a carrier concentration less than i 1014 cm-3. GaSb has not been developed in this way but it can be zone refined. The incentive to purify the material further, however, is limited by the belief that the residual p-type carriers per carrier level, - 2 x cm3, is determined by fundamental aspects of the band structure of the compound.

2.3.3.2 InAs and GaAs InAs and GaAs present additional handling problems because at their melting points the As dissociation pressures are respectively -0.3 and 1.0 atm. Nevertheless, considerable R&D effort has been carried out on GaAs using conventional hot wall technologies. However, a major problem encountered on zone refining GaAs has been the failure to achieve purities with carrier levels below 1OI6 to 10’’ n-type carriers per cm3. This has been shown by Hicks and Greene (1971) to be due to the reaction between Ga in the liquid Ga, As melts and the silica containing vessel, which introduces a fairly constant level of Si into the ingots at about one part per million: (2- 1) 4Ga(L)+Si02(S)=2Ga20(V)+Si(soln)

-

The problem can be overcome by using BN or graphite boats. However, the zonerefining process has generally been superseded and simplified by in situ compounding of very high purity Ga and As which are now available as a result of improvements in chemical purification methods (see Sec. 2.6.2).

2.3.3.3 InP and GaP The very high vapor pressures generated by these compounds at their melting points, some 27 atm and 32 atm for InP

and GaP respectively, makes zone refining a difficult and potentially hazardous process. The compounds can nevertheless be prepared in horizontal systems by distilling the P, into the molten group I11 element contained in a silica or BN boat. By limiting the amount of group V distilled so that the group I11 element is in excess of stoichiometry the working vapor pressures are reduced. Crystallization under these conditions has an additional advantage; there is a very much greater purification effect for impurities from group I11 rich liquids than from stoichiometric melts. The disadvantage of course is that crystallization occurs under conditions of constitutional supercooling, which can result in trapping of the impurity-rich group I11 element in the solid. With the availability of purer starting elements, formation of the compounds from stoichiometric melts is now more usual. Nevertheless, further purification is generally required, and is now often achieved by pre-pulling charges using the liquid encapsulation technique. InP having 1015carriers/cm3 can be produced in this way. A similar purification procedure for GaP can be used. The current commercial demands on GaP are somewhat less than on InP since it is either used as doped material or as a substrate on which active layers are grown. There is clearly scope for the development of further purification procedures for both these compounds.

2.3.3.4 11-VI Compounds The state of development of the 11-VI compounds is significantly behind that of the 111-V compounds even though they have a much longer history. Many of the 11-VI compounds, especially the higher energy gap oxides, sulfides and selenides, are not accessible by melt growth tech-

2.4 Technical Constraints to Melt Growth Techniques

niques and as a consequence there is a much greater emphasis in the use of vapor growth techniques to grow these difficult compounds. Our knowledge of the use of vapor growth as a purification technology is primitive. There is no equivalent to zone refining. Hence there is a more general tendency to rely on the use of elements that have been purified chemically or by zone refining. The elements Hg, Cd and Te, components of the exceptionally well developed infrared detector material Hg, -$d,Te, are now available as very high purity elements as a result of multiple zone refining technologies (Cd and Te) and distillation techniques (Hg). Hence compounds of these elements are prepared in situ by direct reaction. Most of the other elements Zn, Se and S although currently available in conventional high purity form are generally not as pure as the detector materials and do not form very pure semiconducting compounds. Zone refining of the 11-VI compounds is not efficacious because of the volatility of both the group I1 and group VI elements as well as the compounds themselves. Hence there has been little development of conventional zone-refining technology for the compounds. However, a related zone-refining technology called the traveling heater method (THM) or sometimes the traveling solvent method has attracted much interest and development for the 11-VI compounds. In the traveling heater method a molten zone is moved through the ingot as in zone refining, but in THM the zone comprises a solvent of Te or Se. Thus the compound dissolves at the leading edge of the zone and crystallizes out at the trailing edge. This has two advantages. Firstly, it reduces the temperature of crystallization significantly below the melting point of the

77

compound, thus markedly reducing the vapor pressure of the components of the compound, effectively eliminating evaporation. Secondly, it provides a group VI rich solution in which impurities are exceptionally soluble, a condition which results in the crystallization of a very pure compound. Because of the reduced growth temperature it is also possible to eliminate sub-grain boundaries. The technique, however, has not yet been developed to grow large completely single crystals. The process has been exploited particularly by Triboulet (1994) and the CRNS Bellevue group for the preparation and purification of Hg, - $d,Te, Hg, - .Zn,Te, CdTe, HgTe and ZnTe, as well as CdMnTe. It clearly has scope for the preparation and purification of ZnSe and various alloys of the compounds. The potential disadvantage of the technique is that the crystallization occurs under conditions of constitutional supercooling and solvent trapping can occur and give rise to group VI rich precipitates toBether with impurities. Nevertheless it would appear that by optimizing the temperature gradients and the gradient of constitutional supercooling (see Sec. 2.7.4) the worst effects of solvent trapping can be avoided.

2.4 Technical Constraints to Melt Growth Techniques The processing of compound semiconductors by melt growth techniques both for purification and crystal growth is generally much more difficult than the processing of Ge because of constraints imposed by the properties of the materials. Some of the significant properties which lead to constraints in the use of melt growth and related processing are listed in

78

2 Compound Semiconductor Processing

Table 2-1. Material properties of main semiconductors. Compound

Melting point ("C)

Vapor pressure at M.Pt.(atm)

InSb GaSb InAs GaAs InP GaP HgSe H gTe CdSe CdTe

525 712 94 3 1238 1062 1465 799 670 1239 1092

4 x lo-' 1 x 10-6

ZnSe ZnTe Ge Si

1526 1300 960 1420

0.5 0.6

0.33 1.o 27.5 32 12.5 0.3 0.65

CRSS at M.Pt (MPa)

0.7 0.36

0.2

0.70 1.85

Table 2-1. Consideration of a wider range of properties, chemical reactivity, melting point, vapor pressure, critical resolved shear stress and ionicity are important in understanding the suitability, or more often, the unsuitability of a particular technology.

2.4.1 Chemical Reactivity Although not specifically listed in Table 2-1, chemical reactivity is an important constraint in all processing. The main problems arise from the reactivity of the molten semiconductor with the container or the gaseous environment. In this respect container materials have proved to be the dominant source of contamination for compound semiconductor melts. Vitreous silica is widely used as a crucible or boat material and is essentially stable against attack from the lower melting point materials like Ge (937"C), InSb (525°C) and GaSb (712°C). But, for higher melting point materials there is gen-

References

Muller and Jacob (1984) Muller and Jacob (1984) Van der Boomgaard and Schol(l957) Arthur (1967); Thomas et al. (1990) Bachmann and Biihler (1974); Thomas et al. (1990) Nygren et al. (1971) Mayer (1984) Harman (1967); Strauss (1971) Bassam et al. (1994); Lorenz (1967) Isshiki (1992); Strauss (1971); Balasubramanian and Wilcox (1992) Isshiki (1992); Lorenz (1967) Isshiki (1992); Lorenz (1967) Thomas et al. (1990) Thomas et al. (1990)

erally contamination with silicon due to the reduction of the SiO, by the melt, in the case of GaAs (1238°C) it is typically above the part per million (ppm) level in the crystallized material. Pyrolytic boron nitride PBN can be used to overcome this problem and is well suited to the growth of 111-V compounds since it is a 111-V also and does not appear to give rise to electrically contaminating impurities. It is however expensive. Graphite is also used since it is stable in an inert atmosphere and does not appear to directly cause electrically active doping by contaminating melts. Graphite will react with silica at high temperature, but at lower temperatures ( < 900 "C) it is a very useful material and is used as a slider boat material in liquid phase epitaxy (LPE) and as a boat material for 11-VI compounds. But, carbon can be electrically active as an acceptor in GaAs for example. It can be introduced on an As vacancy site via CO under Ga-rich growth conditions, hence the importance of removing 0, and H,O.

2 . 5 Crystal Growth

Another potential source of impurity contamination are the impurities such as S etc. in the graphite. These can generally be removed by vacuum heat treatment at very high temperatures ( > 1500"C). Graphite is a very useful material but since it varies in quality must be used with care. The gaseous environment is also a major cause for concern. Processing in vacuum is possible, but the volatility of the group V, I1 or VI components needs to be taken into account. This is discussed later. All the melts and compounds oxidize readily and it is vital to remove all sources of oxygen such as 0, and H,O from the source materials and the environmental gases. Pure H, or forming gas are very effective reducing agents and will remove oxides readily at temperature. Hydrogen does however react to form unpleasant poisionous 'hydrides and extreme precautions need to be taken to avoid leaks not only with pure H, but also with forming gas (N,/H, mixture). Pure inert gases such as N, ,A or He are safer and consequentally are more frequentally used.

2.4.2 Melting Point The melting point affects the choice of crucible material, and with it the extent of chemical reaction. Also, above about 1000°C radiation fields tend to dominate thermal distribution, creating design problems and the need for radiation baffles. Also, above 1100-1200°C silica starts to soften, which generally means it needs to be supported by another material such as graphite.

2.4.3 Vapor Pressure Vapor pressure is probably the most crucial parameter affecting melt growth technologies. The long delay in the development of GaAs, InP and GaP is attributable

79

in part to the problems posed by the vapor pressure of the group V component generated on melting these compounds. Thus a melt of these materials will rapidly lose its group V component unless there is a pressure of the group V component above the melt at least equal to the equilibrium vapor pressure over the melt. Two types of technology have emerged to deal with this problem: hot wall technology and liquid encapsulation (see Sec. 2.5).

2.5 Crystal Growth The main techniques for growing crystals of compound semiconductors can conveniently be grouped into four categories: horizontal growth, vertical growth, crystal pulling and liquid encapsulated Czochralski (LEC) pulling. Although this classification differentiates the techniques by the physical disposition of the different growth processes it is very important to appreciate that each technology gives rise to different crystallization conditions which affect the quality and efficiency of production for different 111-V compounds. Factors such as the ease of seeding for crystal growth, crystal shape, twinning, the effect of growth in a constrained volume, temperature gradients, visibility and the economics of production and ease of automation are critical factors in the choice of a particular technology. The suitability of these techniques for particular compounds, which are listed in Table 2-2, have evolved with time and experience. They have all been refined for particular applications and are still undergoing both research and commercial development. Their application to the growth of particular compounds will be discussed in later sections.

80

2 Compound Semiconductor Processing

Table 2-2. General applicability of growth techniques. a Technique: Compound ~

Zone melting horizontal Bridgman

VGF vertical Bridgman

Conventional vertical pulling

Liquid encapsulation pulling

Vapor growth

~~

InSb GaSb InAs GaAs InP GaP HgSe H gTe CdSe CdTe ZnSe ZnTe HgS ZnS CdS

*** *** *** *** * *

* ***

P P P

c*** : L*** c * : L** c * : L**

** *** ** *** ** **

*** *** *** ***

*** ***

P P

P P P P

P P

***

*** *** *** *** *** ***

The more stars, currently the more appropriate the technique. P: potentially applicable; C: conventional VGF; L: LEC VGF.

a

2.5.1 Horizontal Growth

Horizontal growth (HG) is used here to cover all the horizontal crystallization techniques. They represent a subset of the zone-melting technologies described by Pfann (1966). A typical horizontal growth arrangement is shown schematically in Fig. 2-2 and discussed more fully in relationship to the growth of GaAs in Sec. 2.6.2. The growth of a single crystal can be carried out by controlled freezing of an ingot of molten semiconductor in a boat. The singularity of the ingot is achieved either by relying on self-seeding or through the use of a single-crystal seed which initially contacts the melt. The technique is often referred to as the horizontal Bridgman technique when the ingot is withdrawn from a furnace. The furnace can of course be moved relative to the ingot and this can be beneficial in that there may be less mechanical disturbance to the ingot and the crystallization process.

In the case of compound semiconductors the main problems generally concern the need to accurately control the thermal profiles, hence the movement of large furnaces tends to be undesirable and a combination of power control and the movement of small independent heaters is generally preferred in order to carry out the crystallization process. These benefits can also be achieved by using furnaces with independently controllable windings so arranged that the thermal profile can be moved. The attraction of H G stems from its relative simplicity and ease of automation. The method can be applied readily to compounds that can be processed in vitreous silica, that is, for compounds melting at temperatures less than about 1250 "C having vapor pressures at the melting point not significantly in excess of one atmosphere. An advantage of the HG is that it can be used to prepare the compound from the elements as an ingot which can then be subsequently zone refined in the same ap-

2.5 Crystal Growth

81

Y

Figure 2-2. Schematic of a conventional horizontal growth apparatus used for the preparation and zoning of 111-V compounds. The ingot in the boat B is contained in a sealed tube A. C is the boat used to hold the volatile component prior to its distillation into the group I11 element in A in order to form the compound. D is an anticonvection bame and E the tube support for the thermocouples H and their support tube. F is a multiple section furnace. G is the traveling heater for the zone formation and movement.

paratus. Such an ingot can also be grown as a single crystal and even zone refined as a single crystal without taking it from the same apparatus. In situ compounding of the elements can also be used in vertical pressure pulling systems (Sec. 2.6.2), but the ability to zone refine in a horizontal system is a distinct advantage when superpure elements are not available. An important advantage of the H G technique is that its design readily lends itself to the establishment of low temperature gradients at the solid-liquid interface without creating a control problem. This contrasts with the situation in the pulling process where relatively high temperature gradients are needed to maintain control of the shape of the crystal. Low temperature gradients are extremely important in minimizing stress induced slip on crystallization and hence in minimizing dislocation formation. In the case of the H G growth of GaAs it is possible to grow low dislocation density material, typically

-

around IO2 dislocations/cm2, a factor of 100 less than currently found in routinely grown LEC vertically pulled crystals. This is very important for laser diodes based on GaAs, where even a single dislocation can readily bring about device failure. There are, however, disadvantages to the horizontal techniques. These can be of a scientific fundamental nature, such as constitutional supercooling or stress, or they can be preparation-related and involve, for example, growth orientation, contamination, or shape. One of the fundamental problems which is not widely recognised is constitutional supercooling, which can occur as a result of a nonstoichiometric melt due to inaccurate vapor pressure control. This can be especially troublesome with low temperature gradients as is analyzed later in Sec. 2.7.4. The most troublesome problems occur as a result of the contact of the melt and the grown crystal with the boat. The long

82

2 Compound Semiconductor Processing

period of contact can be a source of impurities by reaction with the boat. Silicon as noted previously is a major problem with GaAs, but also the diffusion of impurities through the silica with the higher melting point compounds can also result in crystal contamination. Misnucleation from the walls of the container can give rise to twinning, grain boundaries and more often polycrystallinity. Also crystallization in a confined shape with materials like III-V compounds which expand on freezing, especially if combined with localized sticking, will inevitably lead to stress, slip and dislocation formation. However, provided nonwetting surfaces are used for the containing boats and a nonconfining boat shape is used, this problem can be minimized. Most of the disadvantages are qualitative rather than absolute. They detract from the versatility and universality of the technique. In certain cases they may not be significant, such as in the case of the growth of low resistivity GaAs, for example, for especially for material which is subsequently sliced and diced for the fabrication of small discrete devices such as laser diodes. However, for integrated circuit applications where large area uniformity is crucially important H G is unattractive. Indeed the D-shape of H G ingots alone appears to have ruled them out for integrated circuit applications. Also the growth of very large cross section ingots as single crystals is fraught with difficulty. 2.5.2 Vertical Growth

Crystallization of ingots in a vertical container by the Stockbarger or vertical Bridgman techniques used to be associated with the growth of high-quality singlecrystal optical materials like CaF,. But, in the last few years the technology has been

refined and developed as a vertical gradient freeze technique for the growth of GaAs, InP and GaP (Gault et al., 1986; Clemens et al., 1986; Bourret, 1990). The relatively recent application of the VGF technique to the growth of GaAs occurred in response to the need to find a cost effective solution to the production of uniform GaAs wafers compatible with integrated circuit technology. Here there is a requirement for circular wafers having precise dimensions and very good electrical uniformity. “Conventional” wisdom would consider that crystallization in a vertical rigid container would give rise to unacceptable stress due to the expansion of the liquid GaAs on freezing. In the event this has not apparently been a problem. The growth process is fairly straightforward and is illustrated in Figs. 2-3 a and b. In the study by Gault et al. (1986), which was a development of earlier studies (see review by Bourret, 1990), the VGF growth of large diamater Gap, InP and GaAs was reported. No B 2 0 3 encapsulant was used. The type of apparatus is illustrated in Fig. 2-3 a. However, it appears that for the reproducible growth of GaAs it is necessary to use a B 2 0 3 encapsulant in a BN crucible (Bourret, 1990) such as that illustrated in Fig. 2-3b. The B,O,, which is now more generally used for InP, is not only a more effective encapsulant, making for a safer and simpler system, but the nonwetting characteristics of the GaAs melt with respect to the container wall reduce the twinning probability. The vertical gradient freeze technique involves the controlled freezing from the bottom up of a molten charge of material held in a tube-shaped vertical container. The freezing is best brought about not by the movement of the furnace relative to the tube, but by the use of a furnace comprising separate independently controlled

2.5 Crystal Growth

.-A

-B

--A

-B

-C

-C

-D

-D - LE

-E

-F

83

-E

-F

-G

-H

-G

-H -L

-I -M

-J

-K

-L

-M

Figure 2-3. Schematic diagrams of crucibles used in the vertical gradient freeze technique, (a) “Conventional” VGF showing compound F, melt E and separate holder J containing group V component K at a controlled temperature in order to maintain sufficient pressure of V to avoid the dissociation of the compound. Plug B allows pressure equilibration between the crucible and the outer chamber. Loss of group V into the outer chamber is inevitable even when PG> pd and is one of the drawbacks of the technique. A, furnace; C, BN crucible; D, main containing vessel; G, seed: H, crucible support; I, gap for group V transport; J, crucible for holding V; K, source of group V; L, base support; M, holder. (b) Liquid encapsulation VGF with PG> pd; symbols have same meaning as above. B,O, encapsulant LE covers the melt and prevents the loss of the volatile component.

84

2 Compound Semiconductor Processing

heating elements. Adjustment of the heating elements controls the position of the thermal profiles so that the movement of the liquid-solid interface can be raised smoothly to bring about the crystallization of an ingot. The technique provides two important growth conditions. I t naturally lends itself to low temperature gradients, which in turn favor low dislocation densities. And, secondly, it provides an ingot of ideal shape of the required diameter. Provided the interface shape is flat or at least the growth surface is slightly convex the expansion problem on freezing does not appear to be serious and any stress can be annealed out. The main problems appear to be those involving design difficulties of the thermal furnaces, the choice of boat material, BN is generally used, and the choice of conditions which allow seeding and the growth of [loo] crystals without twinning. The ingots are usually encapsulated with B,O,. Whether the technique will supersede the LEC technique for the growth of GaAs is an open question. This can only be effectiveiy assessed when commercially sensitive information on single-crystal growth yield comes available. 2.5.3 Crystal Pulling

The Teal and Little crystal pulling technique which was developed successfully for Ge was naturally tried for the 111-V compounds, but the problem of the volatility of the group V elements and their rapid loss from melts in the case of the arsenides and phosphides presented insuperable problems. The antimonides which have low dissociation pressures at their melting points can, however, be grown by any of the Ge-type semiconductor technologies. The crystal growth of the aluminum com-

pounds by either the horizontal or the vertical pulling techniques has never been developed because of the extreme reactivity of the A1 with traces of oxygen or water and with the silica boats. Any bulk material simply oxidizes in the atmosphere. The VP technique is illustrated in Fig. 2-4. The main factors affecting the design concern the type of heating, the crucible and the outer jacket. Heating can be by resistance heating or, for more versatility, induction-coupled RF power to a conducting crucible, generally graphite or a graphite support to a silica or PBN crucible. The outer jacket is usually silica and for strength reasons can only be used with internal gas pressures not in excess of about 2 atm. The growth of a single crystal involves lowering a seed mounted in a seed holder or chuck on the pull rod into a melt of the compound just above the melting point. After melting back a small amount of the seed, the seed-on process, the power to the melt is controlled so as to allow crystallization of the melt on the seed as it is gradually rotated and withdrawn from the melt. The shape of the crystal is controlled by the shape of the meniscus under the seed (Sec. 2.7.2). The whole process requires considerable operator skill and judgment. The growth can be automated by using a sensor to monitor the crystal diameter and provide feed back to the power control (Sec. 2.7.2).Constant diameter crystals are needed for producing standard sized wafers for device fabrication. This basic process can only be applied to the growth of compounds that have virtually no vapor pressure at the melting point. This is a very restrictive condition for the growth of compounds which generally dissociate near the melting point to some extent. In the case of the 111-V compounds and the 11-VI compounds the technology

2.5 Crystal Growth

is only really suitable for the growth of InSb and GaSb. As a consequence, considerable effort has been devoted to developing alternative technologies for the growth of compounds. Two types of technology aim to overcome the vapor pressure problem and loss of group V component. These are hot wall technology and liquid encapsulation technology. In hot wall technology the walls of the containing vessel surrounding the 111-V compounds are kept sufficiently hot to prevent condensation of arsenic or phosphorus on the walls. This requires temperatures of 600 "C or 700 "C, respectively, for the two elements. This condition is possible to apply in the case of horizontal crystal growth involving the use of a sealed silica tube but it creates serious technical problems in the case of a thermally complex vertical pulling apparatus since it requires the seals, pull rod and bearings, etc., to be heated and inert to the hot reactive component elements. Nevertheless, the problems of hot wall technology have been tackled by a variety of pulling methods with varying degrees of success. They are the syringe pulling and magnetic pulling methods, which have been reviewed by Gremmelmaier (1962) and Fischer (1970), and the pressure balancing technique, which has been proposed by Mullin and coworkers (1972). The principal problem is that of devising a pulling mechanism which prevents the volatile group V elements from being lost or from condensing of the on the walls of the system. Syringe pullers use a pull rod, generally ceramic, which is a close tolerance fit in a long bearing. Although such a seal is not perfect the loss of volatile elements can be minimized. The magnetic puller is a tour de force in which the whole ceramic pulling system contained in the pulling chamber is

-

Figure 2-4. Vertical pulling apparatus for low pressure liquid encapsulation. The silica outer vessel N with viewing port J is held between end plates 0 and P. The induction heating coils couple into the graphite surround F mounted on Q.The seed A is fixed in the chuck on the pull rod K which rotates and moves through the bearing and seal L. The crystal C grows from the seed through a necking process at B and on withdrawal pulls out a layer of B,O, over its surface. Loss of the volatile group V component from the seed, crystal and melt is prevented if PG > pd.

85

-

86

2 ComDound Semiconductor Processing

kept above the condensation temperature of the volatile component. Translation and rotation are achieved by magnetic coupling to suitably sited and protected magnetic material on the pull rod. Neither syringe pullers nor magnetic pullers have achieved any significant following. They are expensive, technically difficult and not entirely satisfactory technologies. An alternative technology proposed and demonstrated by the author has been referred to as the pressure balancing technology (Mullin et al., 1972). This method overcomes loss of the volatile component up the pull rod by arranging for a liquid seal at the top of the bearing housing through which the pull rod is pulled. The inside of the BN bearing has a screw thread so that rotation of the BN pull rod causes the B 2 0 3 liquid sealant to be "wound up" the shaft and kept in the upper reservoir. The inert gas pressure in the system is kept above the dissociation pressure and through the use of a u-tube gauge internal and external pressures can be kept the same. Of course the whole of the apparatus has to be kept above the condensation temperature of the volatile components. The pressure balancing technology works surprisingly well but was not developed and exploited because of the success of liquid encapsulation technology, which has transformed the whole of 111-V pulling technology for the arsenides and phosphides.

2.5.4 Liquid Encapsulated Czochralski (LEC) Pulling Liquid encapsulation often referred to as the liquid encapsulation Czochralski (LEC) technique is illustrated in Fig. 2-4. The liquid encapsulation technique (Mullin et al.. 1965, 1968; Mullin, 1989) avoids the need for hot walls and permits the use of

conventional pull rods. It is elegantly simple. It involves the use of an inert layer of transparent liquid, usually B,O, , which floats on the surface of the melt, acts as a liquid seal and prevents the loss of the dissociating volatile component provided the pressure of external gas P, is greater than that of the dissociation vapor pressure P, of the volatile component. The encapsulant should possess additional properties. It should be immiscible with the melt and be unreactive towards it. But, most importantly, the encapsulant should wet the crystal and the crucible. Further, its viscosity and the temperature dependence of its viscosity should be such as to allow it to be drawn up with an encase the emerging crystal as a thin film of encapsulant. The latter property is desirable in order to prevent the decomposition of the hot crystal throughout the course of the crystal growing process after it has pulled clear from the layer of the encapsulant. Although many glass-like encapsulants have been tried only B 2 0 3and related mixtures fulfill sufficiently well these characteristics.

2.5.4.1 The Low Pressure LEC Technique For compounds that have dissociation pressures not in excess of about two atmospheres it is possible to apply the liquid encapsulation techniques using Ge-type crystal pulling chambers. For this low pressure liquid encapsulation technology it is possible to use an outer jacket of the growth chamber made of silica such as that illustrated in Fig. 2-4. Such a system would be suitable for the growth of InAs or GaAs (Sec. 2.6.2).

2.5.4.2 The High Pressure LEC Technique Silica growth chambers are not strong enough for compounds having high dissociation pressures ( > 2 atm) and steel or

2.6 Crystal Growth of Specific Compounds

metal pressure vessels are used. Pressure vessels have been designed for working upto 200 atm. The use of such steel pressure vessels has enabled the development of a unique technology which has been applied to the crystal pulling of InP and Gap, compounds which have dissociation pressures at their melting points of -27.5 atm and -32 atm respectively. The technology effectively simplifies the growth of these compounds so that the growth process is very similar to that of Ge except that an encapsulant is used and the pulling is carried out under a high pressure of inert gas in a steel pressure vessel. The process can be viewed directly via an optical window using a video camera. An example of a research system is shown in Fig. 2-5. The technical success of the LEC high pressure technology lies in the confinement of the chemically reactive elements such as arsenic and phosphorus to the region of the melt under the liquid encapsulant and out of contact with the chamber wall, the pull rod assembly, bearings seals, etc. Indeed the pressure chamber walls and the pull rod seals need only be capable of withstanding the inert gas pressure at relatively low temperatures, thus avoiding difficult design problems. Of course, the inert gas pressure must such that P, is greater than P, in order to avoid vapor loss. The overall effect of this technology has been to revolutionize the growth of these compounds, enabling them to be grown commercially.

2.6 Crystal Growth of Specific Compounds In discussing the crystal growth of specific compounds emphasis will be given to what is considered to be the most effective technique for general application. The main considerations under discussion will

87

Figure 2-5. 200 atm high pressure LEC crystal puller developed at RSRE showing water cooled steel pressure vessel and two optical ports for viewing, one fitted with a video camera. Below the steel pressure vessel is a large chamber containing the weighing cell for diameter control.

relate to the problem areas of diameter control, dislocations, grain boundaries, twinning and purity. A factor which can be important in the growth of compound semiconductors is the anisotropy introduced by the presence of two dissimilar atoms in the zinc blende lattice (Sec. 2.7.1). Thus the [ l l l ] direction where the surface terminates with group V atoms [some authors confusingly use the reverse designation: see discussion in Hulme and Mullin (1962)l differs in properties and behavior from the [TTT] which terminates in group I11 atoms. The designation [111]A or [111]B, where A and B represent the group I11 and group V atoms respectively, avoids ambiguity. The anistropy al-

88

2 Compound Semiconductor Processing

so holds of course for all ( h k f ) versus directions. This anistropy is important for all compounds but is particularly important in the case of the growth of the In compounds and is directly relevant to the problem of twinning.

(hm)

2.6.1 InSb Both the H G and the VP techniques are used for the preparation of single crystals of InSb. The former method is attractive for obtaining a controlled shape and the highest purity compound whereas the VP technique is more versatile and offers scope for growth in specific orientations. The compound can be formed by heating the elements together since molten In will dissolve Sb. Hence the horizontal technique is not required for preparing the compound. However, the technique does offer scope for the growth of single crystals which can be zone refined in order to obtain very high purity uniform crystals. It is particularly important with InSb to avoid growth in the [ l l l ] direction since (111) facet formation gives rise to the facet effect and can cause very nonuniform crystals. The H G technique also enables single crystal zone refining in growth directions, which minimizes facet formation on the growth surface at the solid-liquid interface, such as the [211]Sb or [311]Sb orientations. The technique has been used successfully for the growth of high purity p-type single crystals for detectors but requires considerable care in control of the growth conditions in order to avoid twin formation. Crystal pulling using a Ge-type puller is a more versatile technique and is probably now used more frequently but it does suffer from the same twinning problems as already discussed. The (1ll)Sb facet is more stable, requiring a greater supercool-

ing for nucleation and growth on its surface than the (TTT)In facet. As a result, twinning tends to be more probable on the (1 1 l)Sb facet when it is present at the edge of crystals, where it is subject to liquid motion, exposure to the gas environment and greater temperature fluctations than when it is at the center of a pulled crystal. Thus growth in the [111]Sb direction is least likely to cause twinning even though there is a central (111)Sb facet whereas growth in the reverse [TTiIIn direction has the greatest likelihood of twinning since there is then the possibility of the formation of three (1 11)Sb-type edge facets. Although growth in the [l ll]Sb direction offers the greatest opportunity to avoid twinning and the preparation of completely single crystals it is not to be recommended for undoped crystals or for doped crystals with dopants which exhibit a marked facet effect since the usual capricious size behavior of the central or principal (111)Sb fact can give rise to very nonuniform crystals. Growth in the [211]Sb or [311]Sb direction is usually recommended. Twinning and trapezoidal shape problems for the crystals may ensue, but by careful control of temperature gradient and temperature stability these effects can be minimized.

2.6.2 InAs and GaAs The growth characteristics of both of these compounds are similar and both can be grown by the horizontal technique and by liquid encapsulation. However, the R&D carried out on GaAs vastly exceeds that on InAs. All the early work on these compounds involved their preparation in an H G apparatus (Sec. 2.5.1) in which As was distilled into the liquid group I11 element contained in a boat. The temperature of the liquid alloy was raised to the melting

Next Page

2.6 Crystal Growth of Specific Compounds

point of the compound as the composition of the liquid approached stoichiometry. Finally the melt was progressively crystallized to form an ingot. A fairly high yield of self-seeded single crystal ingots could be obtained in this way. As an alternative a single-crystal seed at one end of the boat could be used to give controlled nucleation, but this is not a simple process and requires considerable development. Although crystals can be grown in low temperature gradients, resulting in low dislocation densities, scaling up the process to cut circular sections is not an efficient or very successful process. It is understandable then that the advantages of the VP technique using the liquid encapsulation technique has resulted in LEC becoming the industry standard for the growth of GaAs and InAs. The role of liquid encapsulation was considerably enhanced by two significant developments: in situ compounding and the production of semi-insulating (SI) GaAs without recourse to Cr doping. In situ compounding of the elements Ga and As was made possible by the introduction of steel pressure vessels. Liquid As at the melting point of GaAs 1238°C has pressure of -80 atm. Thus progressively raising the temperature of a crucible containing a charge of elemental Ga and As under a layer of B,O, in a pressure vessel containing inert gas at 100 atm to a little in excess of 1238 "C is a convenient way of of forming a GaAs melt whilst avoiding significant loss of As. This in situ compounding has eliminated the need for compounding using a horizontal apparatus, a significant simplification. An additional important development was the use of BN crucibles. This had two effects, it avoided contamination by Si, which is endemic with the use of SiO, crucibles, thus giving a convenient very

-

89

rapid processing route to the formation of very high purity GaAs charges for LEC growth. Also, and somewhat inadvertently, it provided a route to the production of SI GaAs. Swiggard and coworkers (1979) reported that GaAs prepared in BN crucibles generally had very high resistivity and furthermore the electrical properties of the product were relatively stable to the type of heat treatments needed to anneal out ion implantation damage. This was a very important result in connection with the use of GaAs for integrated circuits since SI material provided an excellent insulator on which integrated circuits could be fabricated using ion implantation. A complete explanation of the reasons for the formation of SI GaAs and for its semi-insulating character is the subject of continuing scientific debate which is beyond the scope of this article. However, the materials science of the processing of SI GaAs is important. It is evident that the SI properties are fundamentally connected with the EL 2 center, which is a complex defect involving an As antisite, that is, As on a Ga site. EL 2 is a well characterized electron trap 0.75 eV below the conduction band. In detailed studies it has been shown that the acceptor carbon combines with the EL 2 donor to control the resistivity of the GaAs. From a processing point of view a critical preparation parameter was shown to be the melt stoichiometry (Holmes et al., 1982). Thus the As atom fraction in the melt needed to be greater than 0.475 in order for the resulting crystal to be semi-insulating. This result is qualitatively consistent with the concept of an As antisite being responsible for the SI properties. The LEC technique is now a well established industrial process for the production of 2 inch and 3 inch diameter GaAs either as doped n-type material for use as sub-

Previous Page

90

2 Compound Semiconductor Processing

strates in the fabrication of devices such as light emitting diodes or as SI material for ion implantation and the fabrication of integrated circuits. However, in the last few years the VGF technique has assumed increasing importance as a means of preparing SI and doped GaAs crystals. As noted earlier the VGF technique involves the progressive crystallization of a molten charge in a vertical crucible by continuous adjustment or programming of the thermal profiles. It is a simple concept but its practical implementation is particularly demanding because of the lack of visibility and inability to follow exactly what is happening in the growth process and identify the onset of defect formation. This is a consequence of the use of nontransparent BN crucibles. Pressure vessels, often used for safety reasons, can also be a hindrance to visibility. Nevertheless, the quality of VGF crystals can be as good if not better than LEC crystals, indeed their dislocation densities are generally lower and more importantly uniformly distributed, a consequence, as with the horizontal technique, of the design resulting in low temperature gradients. The major unknown factors in both techniques are the average reproducible yields of single crystals that can be obtained. Yield is an overriding consideration in any growth process in the assessment of its commercial viability. One of the major factors which affects yield is twinning. The precise cause or causes of twinning in any growth run is difficult to identify, and whilst the general process is understood, what exactly brings about a twin misnucleation, be it an impurity, temperature fluctuation, foreign body or facet size, is rarely identifiable as a cause and effect relationship. As a result, trial and error development effort is normally expended in finding suitable twin-free growth conditions.

Twinning can be a serious problem in the VGF process not least because of the need to use [loo] seeds in order to meet industrial demand for (100) wafers. Here there is the additional problem of seedingon blind. The lack of visibility is a big handicap in VGF. Thus unlike the situation in the LEC process it is not possible to identify, for example, the causes of poor crystal quality and or twinning except by inference after growth. With LEC, twins are generally visible and crystals can often be regrown to eliminate them. Nevertheless, VGF is now a commercial process for GaAs and one must assume that sufficiently twin-free conditions can be developed in the growth process. General crystal growth experience would suggest that B,03 quality, boat material, interface shape and thermal stability would need to be carefully controlled. Indium arsenide has similar processing problems to GaAs, although here the melting point is lower and the vapor pressure at the melting point is -0.3 atm. But there is very much less commercial interest in InAs and only the horizontal growth and LEC techniques appear to be used. Twinning is possibly an even more troublesome problem with InAs than with GaAs. The problem is multiple laminar twinning. Again its origin is uncertain, although it is possible to develop twin-free growth conditions.

2.6.3 InP The application of the concept of liquid encapsulation to the growth of III-V compounds was initially reported for the growth of InAs and GaAs by Mullin and his colleagues (1965). The use of B,O, is well known metallurgically and has a long history in protecting molten metals from oxidation and vapor loss. In the case of the IV-VI semiconductors Metz et al. (1962)

2.6 Crystal Growth of Specific Compounds

used B 2 0 3in the crystal growth of volatile compounds of PbTe and PbSe. However, the most significant advance in the III-V compounds came with the application of liquid encapsulation to the concept of high pressure pulling in steel pressure vessels. Liquid encapsulation high pressure pulling was initially applied to the growth of InP and GaP (Mullin et al., 1968) and represented a breakthrough in the growth of these materials as high-quality single crystals. There is now considerable commercial interest in InP due in part to the InP-based structures used in the fabrication of very high quality lasers. It is becoming the laser material par excellence. The principal method of preparation of the raw material uses a pressurized horizontal technique involving distillation of P4 into a boat of molten In as discussed earlier. Crystal growth using the LEC technique is often carried out using a pre-pulled charge of InP. The LEC growth of InP has analogous problems to those of GaAs with respect to temperature gradients and the loss of the group V component. However, in addition, twinning of the crystals during growth is more of a problem. The effect of evaporation from the surface of the hot crystal after it has emerged from the B20, is more troublesome than it is with GaAs even though the absolute temperatures are less. The loss of P, from the crystal as it merges from the B 2 0 3 is connected, firstly, with the very high gas velocities near the crystal surface, and secondly with the temperature of the crystal surface, which is controlled by the temperature gradients. The high gas velocities are caused by Rayleigh convection driven by the high pressure, large temperature differences and relatively large dimensions of the Benard cells in the growth chamber. Convec-

91

tion that can occur in pressure pulling systems correlates with the magnitude of the Rayleigh number R,, which is given by (Chesswas et al., 1971)

R,

ATg d3 P 2

(2-2) TKovo where AT is the temperature difference of the depth of volume of convecting gas (the temperature difference between surfaces driving the Benard cell), and T is an average gas temperature, d is the depth of volume of convecting gas, KO is the thermal diffusivity, v o is the kinematic viscosity and P is the gas pressure. Note that R, depends on the square of the gas pressure, the cube of d and the temperature difference between surfaces driving the convective Benard cell. It is important therefore in the pulling systems to avoid large free volumes with large temperature differences between the hot and cold surfaces. The temperature gradient effects are basically similar to those encountered in the LEC pulling of GaAs. Attempts to reduce the temperature gradients in order to reduce the dislocation density cause a slower rate of fall off in surface temperature of the crystal surface above the layer of B 2 0 3 with consequent loss of the B 2 0 3encapsulating film. The very high dissociation pressure of the InP also exacerbates the problem of P, loss. The loss of P, results in the deterioration of the surface quality of the InP involving the formation of In droplets which can move into the bulk InP under the applied temperature gradient by temperature gradient zone melting (TGZM) towards the solid-liquid interface. The need for low dislocation density is very important for device applications and there is an imperative need to reduce them well below the norm of lo4 to lo5 cm-2 generally found in undoped and lightly =

92

2 Compound Semiconductor Processing

doped material to lo3 or nearer IO2 cm-2 for many device applications. Attempts to reduce the temperature gradients and the dislocation desities have been reported by Hirano et al. (1992). They used a system of double heat shields or baffles in order to reduce the temperature gradients. This was done in a way that minimized P, loss presumably by minimizing gaseous convection.

2.6.4 II-VI Compounds: General The status and development of II-VI crystal growth is very different to that of the III-V compounds. Most strikingly there is no successful pulling technology and it is only in the last few years that large-area CdTe and Cd,Zn - ,Te singlecrystal material has become available. The reasons for this are partly historical and partly materials property related. A significant R&D effort was deployed on the IIVI compounds in the 1950s and 1960s, but following the lack of any significant commercial device promise the major research companies stopped work on the II-VI compounds. The enthusiasts continued, but the problems were formidable and progress was slow. In this phase of development, bulk vapor growth of the II-VI compounds was the most successful crystal growth technology. However, in the early 1980s there was a resurgence of interest in the II-VI compounds partly at least following the availability of the newer low temperature epitaxial technologies which were developed in the 1970s and 1980s for the III-V compounds. The constraints to the melt growth of the II-VI compounds are fundamentally similar to those of the III-V compounds but practically very much more difficult to overcome. All the II-VI compounds exert significant vapor pressures of their compo-

nents at the their melting points. ZnS and CdS have inaccessibly high melting points for melt growth. The more ionic nature of the compounds compared with the III-V compounds gives rise to low critical resolved shear stresses and ease of deformation of the compounds. The high point defect concentrations of the compounds near the melting points conspire with the high diffusion rates in the II-VI compounds, they are orders of magnitude greater than in the III-V compounds, to allow polygonization of dislocations and the formation of grain boundaries and especially subgrain boundaries. The latter are virtually unknown in III-V compounds. Liquid encapsulated pulling cannot be used to overcome the volatility of the compounds since B,03 is partially miscible with II-VI melts. Even if LEC could be used, the ease of deformation would probably limit the value of the technology. The emergence of II-VI epitaxial device structures stimulated new developments in the crystal growth of the II-VI compounds. One can readily identify requirements which were and still are responsible for creating the need for this work: bulk Hg,-,Cd,Te for 3-5 pm and 8-14 pm detectors, CdTe and Cdl -,Zn,Te substrates for epitaxial Hg, -,Cd,Te and ZnSe for blue light emitting diodes and lasers. 2.6.4.1 Bulk Hg

- ,Cd,Te

Research on mercury cadmium telluride (MCT) has never waned since its discovery and it is still an active topic of materials R&D. Three main bulk techniques have been developed, the vertical Bridgman technique, the American quench anneal technique, an equivalent UK technology called the cast recrystallize anneal (CRA) technique and a traveling heater technology.

2.6 Crystal Growth of Specific Compounds

The vertical Bridgman technique involves sealing the pure elements in a thickwalled (3 mm) silica tube, a requirement needed to handle the Hg pressure, which can exceed 20 atm for melts used in the preparation of Hg,.,Cd,,,Te. After melting and mixing in a rocking furnace, the charge is frozen as an ingot and transferred to a VB apparatus where it is again completely melted and then slowly crystallized by withdrawal from the furnace. The resulting ingot has a composition gradient which varies from an x of 0.3 to less than -0.18 depending on the start composition. Much effort has been devoted on devising controlled mixing schemes to maximize the yield of x=0.2 and 0.3 detector material. These attempts have included work on the accelerated crucible rotation technique (ACRT), which involves increasing the rotation of the crucible in one direction from rest, slowing it down, and then repeating the operation. This can then be carried out in the opposite direction, but this is not essential. A great deal of study has been carried out by Capper and his colleagues (1994) at Mullard/Philips Research Laboratories (now GEC-Marconi) on this technology with very good results. The melt mixing conditions have attracted much study and whilst a great deal has been discovered the interactions between the complex transient Couette flow the spiral shearing and the Eckman flows across the solid-liquid interface are still not understood. The need to prepare very uniform MCT has resulted in the development of a unique technology, that of quench anneal (QA) or CRA. The method involves rapidly casting a melt of the appropriate MCT compositions in order to produce a macro uniform solid. On a micro scale, however, the material is extremely nonuniform a consequence of the dendritic growth as N

93

well as the effects of constitutional supercooling. Advantage is then taken of the very high interdiffusion in these compounds and the material is recrystallized in a temperature gradient. This gives uniform MCT but also a high acceptor concentration, which equates to the high Hg vacancy concentration. This is eliminated by a final Hg anneal at low temperature. This is an astonishingly well developed technology, a consequence of support from a military infrared detector programme. The third bulk technology is the travelling heater method (Triboulet, 1994), which was described in connection with the purification and preparation of MCT in Sec. 2.3.3.4. This technique is also used for the growth of Zn,-,Cd,Te an alternative to MCT as a detector material. Material with very uniform x can be grown but the extent of material development is confidential and not available. Although bulk grown MCT is still used it is rapidly being superseded by liquid phase epitaxy (LPE) and by metal organic vapor phase epitaxy (MOVPE) and the less developed molecular beam epitaxy (MBE). These epitaxial technologies require highquality substrates which is the main reason for the extensive development of CdTe and Cd - ,Zn,Te.

2.6.4.2 CdTe and Cd, -,Zn,Te The most developed technology for these materials is the vertical Bridgman technique, where 2 inch and 3 inch diameter crystals, principally of Cd, -,Zn,Te, for use as substrates for MCTZ are under development. Again the technique involves the withdrawal of a molten charge of material from a furnace. The growth of both CdTe (Rudolph, 1995) and Cd,-,Zn,Te (Sen and Stannard, 1995) have recently been reviewed. The major

94

2 Compound Semiconductor Processing

problems affecting the production of highquality single crystals are the avoidance of twins and both large and small angle boundaries. Tellurium precipitates cannot be avoided during growth but can be eliminated by a post-growth anneal in Cd vapor. The unequivocal correlation of the causes of the defects with the growth conditions is difficult to establish but it would appear that the main requirements for good growth are a flat to convex growth surface (relative to the solid) together with low axial and radial temperature gradients. The use of too low an axial temperature gradient can cause a condition of constitutional supercooling and hence a compromise value needs to be selected. Naturally a stoichiometric melt is needed which strictly requires a controlled separate Cd vapor source. However, since the effective distribution coefficient of Zn is 1.3 its segregation can also result in a condition of constitutional supercooling and hence it is important to grow ingots slowly to give time for rejected solute to diffuse into the melt and not build up as a solute boundary layer. The horizontal growth technique has also been developed over the last few years to grow high quality CdTe and Cd, -.Zn,Te. Crystals allowing the selection of single crystal sections greater than 2.5 inch in dimension have been grown from 4 kg ingots (Liao et al., 1992). Larger systems are under development. Seeds are mounted in a raised section at the top of the boat. Seeded growth propagates freely across the top of the surface of the liquid, resulting in the formation of large singlecrystal areas. There is very little detailed information available on the reasons for the good growth other than it is important to avoid propagation from the multiple grains which can be initiated by growth

nucleated on the bottom silica surface of the boat.

2.6.4.3 ZnSe The very high melting point of ZnSe, 1526 "C, makes the vertical Bridgman technique very difficult and most studies have been carried out using vertical gradient freeze technology. But neither of these melt growth techniques give really good quality crystals. Significantly, using a bulk seeded physical vapor transport technique better ZnSe crystals have been obtained by Cantwell et al. (1992). This method is now used by Eagle Picher as a production method. The technique uses 2 inch diameter seeds at either end of a quartz tube. A charge is situated half way between the seeds and is transported to the seeds using an appropriate temperature gradient. The growth of up to 2 inches of crystal has been reported. Very good quality ZnSe having etch pit densities of - 5 x lo4 cm-2 has been grown. It is evident that growth at temperatures below the melting point are very important for ZnSe. Indeed bulk vapor growth could be the technology of the future for the II-VI compounds.

2.6.4.4 ZnS and CdS The very high melting points of ZnS and CdS mean that melt growth is not possible. As a consequence considerable effort has been devoted to the development of vapor growth techniques for these compounds. A variety of physical vapor transport arrangements have been attempted. Probably the most successful has been the PiperPolich technique (Piper and Polich, 1961). This is illustrated in Fig. 2-6a. It uses a tube having a coned tip. The charge can first be transported by an appropriate temperature gradient away from the tip. Growth is achieved by physically moving

2.7 Fundamental Aspects of Crystal Growth

G

A

95

B

Figure 2-6. Schematic illustrations of vapor growth techniques. (a) Piper-Polich technique showing the growth crucible A supported by an outer jacket B mounted inside the furnace F. Movement of A relative to the heater (thermal profile) causes vapor transport of the charge G and its crystallization in the cone of the crucible. (b) Controlled vapor pressure method; growth crucible A has a long side tube D containing the elemental source E which controls the vapor pressure of the more volatile component; note seed crystal C and charge G and thermal profile.

the tube so that the tip sees a progressively lower temperature than the charge. A single crystal can be grown from the tip. An important factor in the growth of most 11-VI and other compounds is the necessity to maintain similar vapor pressures of both components during growth. This requirement can be fulfilled by using a separate source of the more volatile component. Its vapor pressure can then be independently controlled and adjusted to that of the other component. The concept is illustrated in Fig. 2-6 b. A major problem with this and all the other earlier vapor growth technologies is that the crystal grows against the silica tube, often sticking to it. On cooling, differential contraction between the crystal and the tube causes strain and stress, resulting in the introduction of dislocations. Attempts have been made to develop freegrowing systems for CdTe and other 11-VI

compounds in which the crystal grows out of contact with the tube but it is not an easy technology and very carefully designed thermal systems are required.

2.7 Fundamental Aspects of Crystal Growth The purpose of this section is to provide a brief insight into the origin and mechanism of those dominant phenomena which are of practical importance in the processing of compound semiconductors and which can affect crystal quality and perfection. Only the significant aspects of structure, vapor pressure, temperature distribution, diameter control, facet effect, anisotropic segregation, twinning, solute distribution, constitutional supercooling, dislocations and grain boundaries will be considered.

96

2 Comoound Semiconductor Processing

2.7.1 Structure Germanium and Si have a simple diamond cubic structure, which is centrosymmetric, and as a consequence there are no significant growth anisotropies. However, in the case of the compounds the different atoms have different electron affinities and as a result on finds a polarization of properties. In the case of the 111-V and 11-VI compounds the crystal is either zinc blende or wurtzite. This conveys a polar nature to the structure, and as result for the zinc blende, for example, growth in the [hkl] direction is different to growth in the [hkl] direction. The crystal structure shown in Fig. 2-7 highlights this difference. The bond directions are (1 11) or (TIT) where the (TTT) direction terminates in a singly bonded group I11 atom and the opposite (1 11) terminates in a triply bonded group V atom. The (111) planes therefore have different polarities from the {TTT} planes and hence different stabilities. Thus each will require a different supercooling in order to initiate nucleation and growth. One of the most significant phenomena associated with structure is the development of (111) or {?Ti) type facets on growth surfaces. These can give rise to the facet effect and correlate with twin formation (see Sec. 2.7.5).

2.7.2 Temperature Distribution, Crystal Shape and Diameter Control One of the more difficult problems in growing crystals from the melt is the problem of arranging for the most suitable temperature distribution and temperature gradients in the growth chamber. Thermal modeling should ultimately provide a quantitative scientific background to the process but in practice it is still an operation requiring considerable skill and know-how.

Modeling horizontal growth is of course very much simpler than modeling vertical pulling. From the practical viewpoint it is important to appreciate that the relatively low temperature gradients normally used in the growth of compounds means that very small practical changes in the growth chamber, such as a small movement of a heat shield can often have a dramatic effect on crystal growth. It also is evident that many thermal models do not take full account of practical thermal arrangements. A major problem in HG and in VGF is the control of interface shape. It is generally recognised that the growth surface should be flat or slightly convex. Concave growth surfaces frequently result in crystal growth defects such as grain boundaries or trapped-in solute. Unfortunately, many heater designs involving a simple extra heater zone used to form a liquid zone are naturally prone to form concave growth surfaces. The use of modeling and the introduction of better thermal design concepts is beginning to overcome this problem. Vertical pulling apparatus, in contrast, is very difficult to model thermally, especially in the critical region of the solid-liquid interface. Unlike HG, where shape is controlled by the shape of the boat and is not an experimental problem, in VP shape or diameter control is a major problem, and one on which a vast amount of R&D effort is expended. The critical parameters controlling interface shape are the thermal heat balance at the solid-liquid interface and the surface tension forces operating between the solid, liquid and gaseous surfaces. The simplest approximation of the heat balance at the solid-liquid interface is given by

2.7 Fundamental Aspects of Crystal Growth

97

Figure 2-7. Zinc blende lattice showing (1 11) and (TTT) bond directions and the nature of the lattice polarity.

98

2 Compound Semiconductor Processing

where G is the temperature gradient, cr is the thermal conductivity, e, is the density of the crystal and H, is the latent heat of fusion. The temperature gradient G,(Z=S, L) refers to the gradient normal to the solid-liquid interface. In crystal pulling a net loss or gain of heat normal to the crystal axis at the solidliquid interface will cause the growth surface to become convex or concave. The crystal diameter, however, is determined by the shape of the meniscus above the melt. Figure 2-8 shows the steady-state position of the crystal being pulled from the melt and the shape of the meniscus. To a first approximation a meniscus which increases in diameter from the crystal causes the growing solid to increase in diameter. A meniscus which decreases in diameter or waists in from the crystal causes a growing crystal to decrease in diameter. However, this model is only an approximation since shape is also controlled by surface tension forces. Crystals appear to fall into two categories depending on whether their melts completely wet their solids or not. Melts of diamond cubic or zinc blende do not completely wet their own solids i.e.,

Figure 2-8. Diagrammatic illustration of the meniscus contact between a melt and its crystal at an angle 0,(0: for a crystal growing at constant diameter) to the vertical where the edge of the crystal is at an angle 0, and at a height h above the melt surface.

< 4sL+ 4 L G , where 4rJ refers to the interfacial free energies of the respective pairs of the three phases solid, liquid and gas. Thus under equilibrium conditions where the crystal is growing as a right cylinder the meniscus will contact the solid at a specific angle 0, , but at a general angle 0 when growing in or out (see Fig. 2-8). Thus if @@, the crystal will grow out. It is important to note that 0, is not zero but has a positive value for semiconductors, being 11" for Si and 13" for Ge. Thus the actual pull of crystallizing atoms when the liquid meets the solid at a positive angle 0, gives rise to a right cylinder. Device technology - certainly that related to intergrated circuits - requires wafers having a tight specification on diameter, hence there is a need for diameter control in crystal pulling in order to grow constant-diameter crystals. Since the seeding process uses small-diameter single crystals for use as seeds, the pulling system needs to be able to be programmed to achieve a carefully controlled variation in crystal diameter both at the beginning and end of growth. A number of technologies (Hurle, 1993) have been proposed to monitor and control crystal diameter but the most versatile technique (Hurle, 1977) involves continuously monitoring the weight of the crystal (in practice the crystal plus pull rod), or the weight of the melt (in practice the melt plus crucible) and from a knowledge of the pull rate or, strictly, normal growth rate one can monitor continuously the crystal diameter. Diameter control involves either comparing the weight (weight mode) or the rate of weight change (differential weight mode) to the desired weight or desired rate of weight change and using the difference $SG

2.7 Fundamental Aspects of Crystal Growth

or error signal in order to control and vary the power to the melt. An example of a commercially produced weighing cell attached to a RSRE research crystal puller is shown in Fig. 2-5. The weight mode has the advantage of the ability to correct errors generated in the previous stage of growth. The signal-to-noise ratio is good and the system can be used down to low growth rates, but corrected errors can give rise to a damped oscillation in the shape which propagates down the crystal. The differential weight mode seeks to keep the diameter at its present value, ignoring previous history. The signal-tonoise is less good because of the signal differentiation. This mode tends to be a more stable servoloop, which is less sensitive to the thermal lags in the system. One of the problems of growing 111-V compounds using either of the weighing methods is that the immediate response of the error signal to a requested change in diameter is opposite to the intended change. That is, a request for an increase in diameter gives an error signal that causes a decrease in diameter. This so-called weighing anomaly arises from two effects. Firstly, 111-V compounds expand on freezing and, secondly the apparent weight of the crystal contains contributions arising from surface tension forces. A practical solution has been found in that the predicted anomalous error signal is subtracted from the total error signal to give a corrected error signal. This technology has enabled the controlled diameter growth of GaAs, InP, Gap, Ge, Si and many other crystals.

2.7.3 Solute Distribution Dopants and impurities are the main solutes of interest in crystal growth studies of Si and Ge. In the case of the compounds, however, there is an additional source of

99

interest and study, which is the solute effect of excess of one of the components. Such an excess is a very common problem in growth of compounds and readily leads to conditions of constitutional supercooling and heavily defected growth. Solute distribution during crystallization can be conveniently described in terms of distribution coefficients as illustrated in Fig. 2-9. As a result of crystallization, since the solute is less soluble in the solid in the example chosen, rejected solute increases in concentration at the solid-liquid interface and assumes a steady-state concentration as a result of diffusion and convective mixing away from the interface. It is convenient to define in this situation an interface distribution coefficient k* (k* = CJC,) and an effective distribution

SOLUTE

1

CONCENTRATION SOLID

ICs SUPERCOOL1NG

*-

SI L INTERFACE

DISTANCE

Figure 2-9. (a) Solute (k, < 1) distribution during crystal growth showing interface and bulk concentrations C, and C, and the “mathematical” boundary layer 6. b) Liquidus distribution corresponding to the solute distribution above showing three different real temperature distributions P,, the stable situation, P,, the critical situation and P,, the unstable situation due to the zone of constitutional supercooling.

100

2 Compound Semiconductor Processing

coefficient kerf(k,,, = C,/C,). The latter is the parameter measured experimentally since both the concentration in the bulk melt and the crystal are accessible to measurement. However, k* is not immediately accessible; it is simply the equilibrium distribution coefficient k , modulated by the growth process. If incorporation were an equilibrium process, for example involving growth under ideally slow conditions, then k* would equal k , . In practice, k* is often a function of orientation, growth rate and solute concentration. Most of our knowledge of transport in the melt and its effects, particularly on crystallization, have been obtained on pulled crystals, where the effects of stirring can be modeled. The relationship between k*, k e f , and the stirring conditions was derived by Burton, Prim and Slichter (BPS) (1953) in a classic paper in which they introduced a parameter 6, which was related to but was not the diffusion layer thickness. The mathematical convenience of 6 is that it can be used to model the height of the boundary layer at the growth surface under different stirring conditions. In the BPS model the relationship between kerf and k* is given by keff

=

k* [k* + (1 - k*)e-”]

(2-4)

where A = vG/D and 6 = 1.6D’I3 vAl6 u -l i 2 , where t’ is the growth velocity, D is the diffusion coefficient of the solute in the liquid, v , is the kinematic viscosity and u is the angular rotation rate. The model makes use of an earlier analysis by Cochran (1 934), who analyzed the flow velocity normal to a disc rotating in a semiinfinite fluid. From Eq. (2-4) the effect of growth rate and rotation rate on the incorporation of impurities and dopants can be predicted. Under good stirring conditions 6 -+ 0,

and hence kerftends to the value of k*, but where stirring conditions are poor 6 + 00 and kerf tends to 1. The model has been used in predicting the onset of constitutional supercooling in the growth of heavily doped melts (Hurle, 1961; Bardsley et al., 1962), but here its significance in the growth of compounds growing under nonstoichiometric conditions will be considered. 2.7.4 Constitutional Supercooling

Consider the segregation situation illustrated in Fig. 2-9. In (a) the rejected solute forms a boundary layer where the concentration of solute rejected decreases with distance away from the interface. This concentration distribution is represented in (b) by the liquidus temperature, or freezing temperature distribution. Superimposed on this is the actual physical temperature distribution. If the slope PI is greater than the slope of the liquidus distribution at the solid-liquid interface, then the temperature of the melt will always be greater than the liquidus temperatures in the melt ahead of the interface, giving a stable situation. However, if the actual temperature distribution is, as shown by P,, less than the slope of the liquidus at the interface then in the shaded region there will exist as shown in the diagram a region of the melt where the actual temperature is less than the liquidus temperatures, resulting in an unstable situation. The melt will be supercooled. Under these conditions a perturbation on the growth surface will experience greater supercooling, resulting in accelerating growth into the bulk melt. The critical condition for the onset of supercooling was taken by Hurle (1961) to be the condition when the gradient of constitutional supercooling became equal to or greater than zero. The gradient of con-

2.7 Fundamental Aspects of Crystal Growth

stitutional supercooling was defined as the difference between the gradient of the liquidus and the actual temperature gradient at the interface. Using the BPS model the gradient of constitutional supercooling (dS/dx),,, is given by

umC,(1 - k*) - GL D [ k * + (1 - k*)e-A]

(2-5)

where m is the gradient of the liquidus, CL the solute concentration and the other parameters are as defined in Eq. (2-4). Putting (dS/dx),,, = 0 one can obtain the critical growth velocity for the onset of constitutional supercooling. uCritgiven by ucrit

=

D G, [k* + (1 - / ~ * ) e - ~ ] mCL(l- k*)

(2-6)

Thus the critical (maximum) growth velocity for good stirring conditions (6 -,0) is DG,/(mCL) and for bad conditions (6 -,co),[DGJm C,)] x k*. If from Eq. (2-3) asGsis very much larger than UQJH~, we can substitute (as/oL) G, for GL. In the case of GaAs, if G, is 50 "Ccm - and as/ 0,=0.54, and m=3"C (at.%)-', C,=l at.% and D=10-4cm2 s - l for ideally good stirring conditions, the critical growth cm velocity uCritwould be equal to 9 x s - l (3.2 cm h-'). Under poor stirring conditions uCritis modulated by a factor of k*. Thus constitutional supercooling is very sensitive to small distribution coefficients. This is a very important aspect of the growth of compounds where it is often very difficult to control the stoichiometry of the melt of dissociable compounds. In the case of the arsenides and phosphides of the 111-V compounds, the excess component in nonstoichiometric melts behaves as a solute with negligible solubility in the The solid, that is with a k* of significance therefore is that it is extremely difficult to avoid constitutional supercool-

101

ing in the growth of compounds unless the melts are very close to stoichiometry. In the case of horizontal growth one has the additional hazard of low temperature gradients. The effect of growth under conditions of constitutional supercooling (Bardsley et al., 1962; Hurle et al. 1961) is illustrated in Fig. 2-10. A planar growth surface initially breaks up into a sinusoidal or rumpled surface. Where the solid-liquid interface becomes parallel to { l l l} planes the growth surface develops a ridge or roof-type structure delineated by (111) facets. The regions between the rooftops are valleys where the rejected solute gets trapped. The regions of the crystals grown behind the rooftops between adjacent valleys are the so-called cells; the growth gives rise to a cellular structure. The cells grow more or less independently of one another. Examples of cellular structure on the surface of a crystal can be seen in Fig. 2-11. The effect of progressive constitutional supercooling is to cause the crystal to de-

Figure 2-10. Effect of constitutional supercooling on a planar growth front 1. Rejected solute causes the growth surface to rumple 2 and then develop a faceted structure 3. The full cellular structure traps in solute as illustrated.

102

2 Compound Semiconductor Processing

slowly with good stirring and with as large a temperature gradient at the interface as possible.

2.7.5 Facet Effect, Anisotropic Segregation and Twinning

Figure 2-11. Crystal end showing the development of cell structure which is evident from the faceted grooves on its surface.

velop polycrystallinity. The trapping of excess solute initially represents a separate liquid phase for the case of a group I11 element. The trapped droplets move under the influence of the temperature gradient (TGZM) towards the solid-liquid interface. The droplets ultimately get frozen in since the crystal growth rate is greater than the diffusion-controlled transport rate of the droplets. The resulting two-phase regions create strain and dislocations and marked nonuniformity. Additionally the facets on the ridge structure exhibit the facet effect giving rise to additional nonuniform dopant and impurity incorporation. Great care is therefore required in the melt growth of compound semiconductors if constitutional supercooling effects are to be avoided. The basic need is to maintain stoichiometric melts and to grow crystals

Facets or atomically flat planes, which are generally of low index, so-called singular planes, are a feature of compound semiconductor growth; they can adversely affect both the yield and quality of crystal growth. The most troublesome facets are of the (111) or (TTT) type. Four of each type can occur over a closed volume. Facets develop when the (111) planes become tangential to the solid-liquid interface as illustrated in Fig. 2-12. The majority of the crystal surface, which comprises growth steps which are easy sites for nucleation, requires negligible supercooling for growth and thus follows the melt isotherm. However, where the isotherm becomes tangential to the (111) the facet plane truncates the growth surface, there are no growth steps on the (1 11) plane, and there

‘(111)

FACET

Figure 2-12. Diagrammatic representation of the formation of a (1 11) facet on a growing crystal showing the equilibrium melting point isotherm T,. The TM-AT isotherm illustrates the potential for the development of a maximum supercooling AT

2.7 Fundamental Aspects of Crystal Growth

is a difficulty of nucleation. The facet lags in growth behind the rest of the surface defined by the melt isotherm. The facet grows in size sufficiently in order to develop sufficient supercooling AT in the melt above its surface to initiate nucleation and subsequent growth. Hulme and Mullin (1959) discovered that many impurities are preferentially adsorbed on [111] planes. The effect known as the facet effect is dramatically large for the case of Te in InSb, where the distribution coefficient for growth on a { 11l} type facet was 4 whereas just off a { 11l} type facet it was -0.5, giving a facet ratio k[on (111) facet)]/k[off (lll)facet] of -8, which can result in very marked dopant nonuniformities. The diagrams at the bottom of each montage in Fig. 2-13 illustrate the relationship of the { 11l} planes for growth in the [TTTIIn and [loo] growth directions. For growth in the [iii]In direction there will be three (111) directions of the opposite type at 70.5" to the [TTIIIn direction. For the [loo] direction there will be two (iTT)In and two (111)Sb directions at 55" to the [loo]. Differences in facet behavior can be seen in Fig. 2-13, which is a montage of autoradiographs of slices cut from InSb crystals that were grown using radioactive lZ7Teas a dopant. The brighter regions are lz7Terich. The diagrams at the bottom of Fig. 2-13 illustrate the "spraying out" effect of the lz7Teradiation onto the autoradiography film. Slices were taken from different positions down crystals grown in the [TTT] and [loo] directions. The [TTi]In crystal shows the central or principal facet together with the three edge facets which are of the { 111}Sb type. The disappearance of one of the (111)Sb facets in the last slice is indicative of a recently formed twin. The [loo] crystal shows two opposite {TTT}In N

103

facets and two opposite (111)Sb facets, the differences in size clearly indicating that the (111)Sb facets are larger than the {ITT}In and require more supercooling for growth. Note the evidence of a small (100) principal facet. Figure 2-14 is a longitudinal section of a '27Te-doped (1 11) crystal which shows the coring effect of the (111) principal facet and also the rotational striations which have a periodicity of one per revolution. The autoradiographs clearly indicate that facet development is an important and critical phenomenon in crystal growth and can bring about very significant dopant and impurity nonuniformities. Twinning can be a particular problem in the growth of 111-V compounds and can strongly affect yield in any growth process. The growth twins occur on { 11l} planes, which is the twin composition plane and can be described as a rotation of 60" about the (1 11) direction. First nearest neighbor atoms are not affected by the rotation, only second nearest neighbors. The interaction energy associated with the marked increase in distance of the second nearest neighbors is thus quite small, a factor which enhances the twinning probability. The exact mechanism of twinning is not understood as a cause and effect phenomenon. Thermodynamic conditions for twinning on edge facets have been proposed by Hurle (1995) in a recent model. Differences in material behavior appear to be predicted, but to what extent kinetic effects are involved is still an open question. Thus anything that could allow an atom to go down on a (111) surface misoriented in rotation by 60" could be implicated, Impurity atoms, temperature fluctuations and stoichiometry have all been invoked but unequivocal proof as opposed to strong evidence, e.g. stoichiometry, has not been established.

104

2 Compound Semiconductor Processing

Figure 2-13. Montage of autoradiographs of slices cut from (a) [ l l l ] In and (b) [loo] InSb crystals that had been grown from a '"Te-doped melt. The bright regions of Te-rich growth illustrate the development of facets: (a) the central or principal (TTT) In facet and the large edge (1 11) Sb facets - the disappearance of one facet effect in the last slice shows the momentary effect of twinning; (b) note the development of the opposite (111) Sb edge facets and the smaller (11 1) In facets as well as evidence of a (100) facet. The diagram at the bottom of each montage illustrates the crystallographic directions and the "spraying out" effect of the '"Te radiation into the autoradiographic film.

Twinning continues to be one of the more frustrating and annoying yield-limiting phenomena in crystal growth. Facet formation appears to be a necessary but not unique requirement for twinning. One correlation that is associated with twinning is that the avoidance of facet forma-

tion can reduce or eliminate twinning, However, any surface which is tangenital to a { 111) is prone to develop a facet. Under equivalent growth conditions the lower the temperature gradients, the bigger the facet, since a fixed supercooling is required for growth on a facet. Since low tempera-

2.7 Fundamental Aspects of Crystal Growth

105

Figure 2-14. Facet-effect nonuniformities illustrated with an autoradiograph of a longitudinal cross-section of an InSb crystal grown from a '"Te-doped melt. The principal (111) facet causes very marked nonuniformity. Note the oneper-revolution striations.

ture gradients are a basic requirement to minimize dislocation formation, it is often difficult to avoid facet formation and twinning in crystal pulling.

2.7.6 Dislocations and Grain Boundaries Dislocations and grain boundaries are a major impediment to the quality of 111-V (Jordan et al., 1980) and 11-VI compounds (Williams and Vere, 1987) grown from the melt. In the case of 111-V compounds and possibly in the case of the 11-VI compounds one of the main causes of dislocation formation during growth or under post-growth conditions are adverse temperature distributions that give rise to strain and resulting stress. Vertical pulling provides a classic example of this phenomenon. Steep temperature gradients can result in the inner region of the crystal being at a different temperature to the outer. This can give rise to a hoop stress which acts on the inclined (111) planes to produce slip and dislocation formation. Considerable effort has been

devoted to theoretically analyzing (Jordan et al., 1980; Volkl and Muller, 1989) this problem and to practically analyzing means of avoiding or minimizing the problem. Most research has been carried out on the LEC growth of GaAs although other useful information has been obtained from the growth of other 111-V compounds such as InSb, InP and Gap. There is now general agreement that steep temperature gradients which are conductive to good diameter control and pulling conditions are detrimental in LEC growth and lead to relatively high dislocation densities, around 5 x IO4 cm-' for GaAs and InP in the pulled crystals. These densities can be reduced typically by a factor of ten or more by using reduced temperature gradients but these lead to poor diameter control loss of B,O, from the surface of the pulled crystal with consequent deterioration in crystal quality. One of the critical regions requiring good thermal control is at the solid-liquid interface itself and the region around the surface of the B,O,. Thus Jordan and

106

2 Compound Semiconductor Processing

coworkers (1980) have shown that the heat loss from the crystal to the B , 0 3 is 50 times as great as the heat loss from the crystal to the ambient gas. In effect the gas acts as a thermal insulator in comparison to the B , 0 3 . This situation favors hoop stresses. It also leads to considerable difficulty in crystal diameter control, thus the well-known phenomenon of the rapid decrease in crystal diameter as it emerges from the surface of the B,O, due to the reduction in temperature gradient due to reduced thermal loss from the top surface of the crystal. Jacob (1982) has advocated the growth of GaAs completely submerged under B,03 but the technique does not appear to have a large following. An alternative way of reducing dislocation densities is to harden the lattice by doping (Jacob et al., 1983). Dopants make dislocation motion difficult either by a pinning effect or by simply reducing dislocation velocities. However, rather high doping densities are required, typically above IOi8 atoms/cm3 and the technique has only very limited scope for heavily doped material for special applications. The current state of development is one where LEC is a commercially viable technique with versatile doping and growth orientation abilities, but where the ultimate low dislocation density, say IO3 cm-2 is not readily achievable. In contrast, VGF can achieve these low dislocation densities but it is not a versatile technique and is more suited to dedicated product applications. The melt growth of 11-VI compounds, unlike that of the 111-V compounds, gives rise to the formation of grain boundaries. The reason for the formation of grain boundaries is probably associated with the more ionic character of the 11-VI lattice and the considerably enhanced diffusion in 11-VI compounds compared with 111-V compounds.

The grain boundaries may be loosely classified as small angle, around IO-’ degree, and large angle, around a degree. Small-angle boundaries are very difficult to remove and indeed are quite stable. Large-angle boundaries can usually be seen visually by lightly grinding a surface. The minority carrier lifetime can be severely affected by grain boundaries, hence the development of methods aimed at eliminating grain boundaries is a priority in IIVI compounds.

2.8 Wafering and Slice Preparation The conversion of a bulk crystal into a form suitable for device fabrication is a vital and crucially important stage in processing. It is not a topic that attracts much published literature (Tada et al., 1990) if only because wafer processing is commercially sensitive, since wafer quality correlates directly with saleability. Most device fabrication procedures involve some form of planar technology. The machinery used for cutting and wafering of compounds is usually the same as that developed for the Si integrated circuit market, where the requirement is for accurately dimensioned circular wafers. In the case of the compounds the diameters are currently much less than the standard 6 inch Si. Two inch GaAs and InP is now being replaced by 3 inch material as the norm. The need for circular wafers is one of the main driving forces for the development of the LEC and VGF processes. The HG technique is supported only where it can achieve characteristics not readily achievable as effectively in other techniques, such low-cost production of very low dislocation density GaAs for laser diodes.

2.9 References

As-grown crystals are not ideally circular and after growth they are normally ground into a right cylinder having the correct diameter. The cylindrical boules are then sliced into wafers. In the Si industry this process is carried out using a high speed diamond slitting wheel. The compound semiconductors are structurally much weaker than Si and early attempts at using this technology often resulted in failure and broken wafers. In the research area slow speed cutting was developed. In an attempt to overcome wheel wobble, cutting wheels were used which were clamped and mounted and driven from their periphery. The narrower diameter internal edge of the wheel was used for cutting. The wheels were stressed to create stiffness. All cutting is a highly skilled process which requires exceptionally high quality machines in which vibration is totally eliminated. The boule is mounted on an adjustable table which fits both the X-ray orientation equipment and the cutting machine. In this way precisely oriented boules are sliced often to 0.1O or less. Commercial pressures and the need to reduce cutting times have resulted in improvements and developments in high-speed saws which can now be used successfully for cutting GaAs and InP and the 111-V compounds. All cutting gives rise to surface damage, which may be c 10 pm for Si, and up to 50 pm for GaAs and even more for 11-VI compounds. This damage must be removed. It can be achieved by a lapping process, but now that surfaces can be cut sufficiently flat it is usually sufficient to chemically polish the surfaces directly removing up to three times the depth of cutting damage at least. Often up to 100300 pm is needed to remove all trace damage and prepare the highest quality polished surface for wafers. The quality of epitaxial growth is crucially dependent on

107

the quality of surface finish on wafers. It is a major concern in the purchase of such wafers. The finishing treatment for wafers involves the use of final etches for two reasons. Firstly, even a chemical polish introduces minor damage due to the loading of the specimen, and secondly, there is a need to prevent electropositive elements like Cu plating back onto the highly polished surface since such contamination could be detrimental to subsequent device structures fabricated on the wafers. The technology and know-how of these processes, however, are generally commercially confidental.

2.9 References Al-Bassam, A. A. I., Al-Juffali, A. A., Al-Dhafiri, A. M. (1994), J. Cryst. Growth 135, 476. Arthur, J. R. (1967), J. Phys. Chem. Solids 28, 2257. Bachmann, K. J., Biihler, E. (1974), J. Electrochem. SOC.121, 835. Balasubramanian, R., Wilcox, W. R. (1993), in: Proc. E-MRS Conf. (Symp. F) CdTe and Related Cd Rich Alloys, Strasbourg, June 1992. Mater. Sci. Eng. B 16, 1. Bardeen, J., Brattain, W. H. (1948), Phys. Rev. 74, 203. Bardsley, W., Boulton, J. S., Hurle, D. T. J. (1962), Solid-State Electron. 5, 395. Bourret, E. D. (1990), Am. Assoc. Cryst. Growth Newslett. 20 ( 3 ) , 8. Burton, J. A., Prim, R. C., Slichter, W. P. (1953), J. Chem. Phys. 21, 1987. Cantell, G., Harsch, W. C., Cotal, H. L., Markey, B. G., MacKeever, S. W S., Thomas, J. E. (1992), J. Appl. Phys. 7 1 , 2931. Capper, P. (1994), Prog. Cryst. Growth Charact. Mater. 28, l . Chesswas, M., co*ckayne, B., Hurle, D. T. J., Jakeman, E., Mullin, J. B. (1971), J. Cryst. Growth 11, 225. Clemens, J. E., Gault, W. A., Monberg, E. M. (1986), AT&T Tech. J. 65, 86. Cochran, W. G. (1934), Proc. Camb. Phil. SOC.30, 365. Czochralski, J. (1917), Z . Phys. Chem. (Leipzig) 92, 219. Fischer, A. G. (1970), J. Electrochem. SOC.117, 41C. Gault, W. A,, Monberg, E. M., Clemens, J. E. (1986), J. Cryst. Growth 74, 491.

108

2 Compound Semiconductor Processing

Gremmelmaier, R. (1962), “Czochralski Technique”, in: Compound Semiconductors, Vol. I : Preparation of 111- V Compounds: Willardson, R. K., Goering, H. L. (Eds.). New York: Reinhold, p. 254. Harman, T~ C. (1967), “Properties of Mercury Chalcogenides”, in: Physics and Chemistry of IIVI Compounds: Aven, M., Prener, J. S. (Eds.). Amsterdam: North-Holland, p. 767. Hicks, H. G. B., Greene, P. D. (1971), Proc. 3rd Int. Symp. on GaAs and Related Compounds, Aachen. 1970, Inst. Phys. ConJ Ser. 9. Bristol: Institute of Physics, p. 92. Hirano, R., Kanazawa, T., Nakamura, M. (1992), 4th In!. Conf. on InP and Related Materials. Newport, JYYL. Yiscataway, NJ: IEEE, p. 546. Holmes, D. E., Chen, R. T., Elliott, K. R., Kirkpatrick, C. G. (1982), Appl. Phys. Lett. 40, 46. Hukin, D. A. (1989), in: Proc. 4th In!. Photovoltaic Science and Engineering Cony. Edge Cliff, NSW, Australia: International Radio and Electrical Engineers of Australia, p. 719. Hulme, K. E (1959). J. Electron. Control 6 , 397. Hulme, K. F., Mullin, J. B. (1959), Phil. Mag. 4, 1286. Hulme, K. E, Mullin, J. B. (1962), Solid-State Electron. 5, 211. Hurle, D. T. J. (1961). Solid-State Electron. 3, 37. Hurle. D. T. J. (1977). J. Cryst. Growth 42, 473. Hurle, D. T. J. (1993), J. Cryst. Growth 128, 15. Hurle, D. T. J. (1995), J. Cryst. Growth 147, 239. Hurle, D. T. J.. Jones, 0..Mullin, J. B. (1961), SolidState Electron. 3. 317. Isshiki, M. (1992), “Bulk Growth of Widegap 11-VI Single Crystals”. in: Widegap 11- VI Compounds for Opto-Electronic Applications: Ruda, H. E. (Ed.). London: Chapman and Hall, p. 3. Jacob, G. (1982), J. Cryst. Growth 58, 455. Jacob, G., Duseaux, M., Farges, J. P., Van Den Boom, M. M., Roksnoer, P. J. (1983), J. Cryst. Growth 61, 417. Jordan, A. S., Caruso, R., Von Neida, A. R. (1980), Bell Syst. Tech. J. 59, 593. Liao, P. K., Chen, M. C., Castro, C. A. (1992), in: 10th In!. ConJ on Crystal Growth, Sun Diego, C A 1992. Oral Presentation Abstracts. Thousand Oaks, CA: American Association for Crystal Growth, p. 161. Lorenz, M. R. (1967), “Crystal Growth of 11-VI Compounds”, in: Proc. Int. ConJ on II- VI Semiconducting Compounds, Providence, RI. New York: W. A. Benjamin, p. 215. Maier, H. (1984). in: Landolt-Bornstein: Numerical Data and Functional Relationships in Science and Technology, new series, Vol. 17: Technology of Semiconductors. Berlin: Springer, p. 5. Metz, E. P. A., Miller, R. C., Mazelsky, R. (1962), J. Appl. Phys. 33, 2016. Muller, G., Jacob, H. (1984), in: Landolt-Bornstein: Numerical Data and Functional Relationships in Science and Technology, New Series, Vol. 17: Technology of Semiconductors. Berlin: Springer, p. 12.

Mullin, J. B. (1962), Segregation in InSb, in: Compound Semiconductors, Vol. 1: Preparation of III- V Compounds: Willardson, R.K., Goering, H. L. (Eds.). New York: Reinhold, p. 365. Mullin, J. €3. (1975a), “Crystal Growth from the Melt: I. General“, in: Crystal Growth and Characterization, Proc. ISSCG2 Spring School, Lake Kawaguchi, Japan, 1974: Ueda, R., Mullin, J. B. (Eds.), Amsterdam: North-Holland, p. 61. Mullin, J. B. (1975b), “Crystal Growth from the Melt: 11. Dissociable Compounds”, in: Crystal Growth and Characterization, Proc. ISSCG2 Spring School, Lake Kawaguchi, Japan, 1974: Ueda, R., Mullin, J. B. (Eds.). Amsterdam: North-Holand, p. 75. Mullin, J. B. (1989), “Melt Growth of 111-V Compounds by the Liquid Encapsulation and Horizontal Growth Techniques”, in: 111- V Semiconducting Materials and Devices: Malik, R. J. (Ed.). Amsterdam: Elsevier, Chap. 1, p. 1. Mullin, J. B., Straughan, B. W., Brickell, W. S . (1965), J. Cryst. Growth 26, 782. Mullin, J. B., Heritage, R. J., Holliday, C. H., Straughan, B. W. (1968), J. Cryst. Growth 3/4, 281. Mullin, J. B., MacEwan, W. R., Holliday, C. H., Webb, A. E. V. (1972), J. Cryst. Growth 13/14, 640. Nygren, S. E, Ringel, C. M., Verleur, H. W. (1971), J. Electrochem. SOC.118, 306. Pfann, W. G. (1966), Zone Melting, 2nd ed. New York: Wiley. Piper, W. W., Polich, S. J. (1961), J. Appl. Phys. 32, 1278. Rudolph, P. (1995), Prog. Cryst. Growth Charact. Mater., to be published. Rudolph, P., Umetsu, K., Koh, H. J., f*ckada, T. (1994), J. Cryst. Growth 143, 359. Sen, S., Stannard, J. E. (1995), Prog. Cryst. Growth Charact. Mater., to be published. Shockley, W. (1949), Bell Syst. Tech. J. 28, 435. Straws, A. J. (1971), in: Proc. In!. Symp. Cadmium Telluride, Strasbourg, June 1971: Siffert, P., Cornet, A. (Eds.). Strasbourg: Centre de Recherches Nucleaires, p. l l . Swiggard, E. M., Lee, S. H., von Batchelder, EW. (1979), Proc. 7th In!. Symp. on Gallium Arsenide and Related Compounds, St. Louis 1978. Znst. Phys. Conf: Ser. 456. Bristol: Institute of Physics, p. 125. Tada, K., Tatsumi, M., Morioka, M., Araki, T., Kawase, T. (1990), Semiconductors and Semimetals, Vol. 31, Indium Phosphide: Crystal Growth and Characterization: Willardson, R. K., Beer, A. C. (Eds.), New York: Academic, p. 175; see especially pp. 222ff. Teal, G. K. (1958), Transistor Technology, Vol. 1: Bridgers, H. E.,

Handbook of Semiconductor Technology, Volume 2 - PDF Free Download (2024)

References

Top Articles
Duolingo Promo Codes (August 2024) - Get 50% Discount
The 10 Best King Gizzard & The Lizard Wizard Songs
Kostner Wingback Bed
Truist Bank Near Here
Metra Union Pacific West Schedule
Zabor Funeral Home Inc
Gomoviesmalayalam
Room Background For Zepeto
Practical Magic 123Movies
Chelsea player who left on a free is now worth more than Palmer & Caicedo
Select The Best Reagents For The Reaction Below.
CSC error CS0006: Metadata file 'SonarAnalyzer.dll' could not be found
Blue Ridge Now Mugshots Hendersonville Nc
Indiana Immediate Care.webpay.md
Miss America Voy Forum
Craigslist Pikeville Tn
Funny Marco Birth Chart
DBZ Dokkan Battle Full-Power Tier List [All Cards Ranked]
Northeastern Nupath
ZURU - XSHOT - Insanity Mad Mega Barrel - Speelgoedblaster - Met 72 pijltjes | bol
Persona 4 Golden Taotie Fusion Calculator
north jersey garage & moving sales - craigslist
Atdhe Net
Dr Ayad Alsaadi
12 Top-Rated Things to Do in Muskegon, MI
Chase Bank Pensacola Fl
St Clair County Mi Mugshots
Xfinity Outage Map Fredericksburg Va
Greenville Sc Greyhound
Atlases, Cartography, Asia (Collection Dr. Dupuis), Arch…
The Many Faces of the Craigslist Killer
Lost Pizza Nutrition
Olivia Maeday
Geico Car Insurance Review 2024
Himekishi Ga Classmate Raw
Rush County Busted Newspaper
Armor Crushing Weapon Crossword Clue
The Rise of "t33n leaks": Understanding the Impact and Implications - The Digital Weekly
Dumb Money, la recensione: Paul Dano e quel film biografico sul caso GameStop
Best Workers Compensation Lawyer Hill & Moin
Petsmart Northridge Photos
Uc Santa Cruz Events
Craigs List Palm Springs
San Bernardino Pick A Part Inventory
How To Upgrade Stamina In Blox Fruits
Appraisalport Com Dashboard Orders
sacramento for sale by owner "boats" - craigslist
Martha's Vineyard – Travel guide at Wikivoyage
Peace Sign Drawing Reference
Brown launches digital hub to expand community, career exploration for students, alumni
How to Find Mugshots: 11 Steps (with Pictures) - wikiHow
Cheryl Mchenry Retirement
Latest Posts
Article information

Author: Sen. Ignacio Ratke

Last Updated:

Views: 5401

Rating: 4.6 / 5 (56 voted)

Reviews: 87% of readers found this page helpful

Author information

Name: Sen. Ignacio Ratke

Birthday: 1999-05-27

Address: Apt. 171 8116 Bailey Via, Roberthaven, GA 58289

Phone: +2585395768220

Job: Lead Liaison

Hobby: Lockpicking, LARPing, Lego building, Lapidary, Macrame, Book restoration, Bodybuilding

Introduction: My name is Sen. Ignacio Ratke, I am a adventurous, zealous, outstanding, agreeable, precious, excited, gifted person who loves writing and wants to share my knowledge and understanding with you.